基于FPGA的图像最近邻插值算法verilog实现,包括tb测试文件和MATLAB辅助验证

目录

1.算法运行效果图预览

2.算法运行软件版本

3.部分核心程序

4.算法理论概述

5.算法完整程序工程


1.算法运行效果图预览

将FPGA数据导入matlab显示图片,效果如下:

2.算法运行软件版本

vivado2019.2,matlab2022a

3.部分核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/07/28 01:51:45
// Design Name: 
// Module Name: test_image
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//module test_image;reg i_clk;
reg i_clk_4;
reg i_clk_2;reg i_rst;
reg i_en;
reg [7:0] image_buff [0:100000];wire [7:0] o_image;integer fids,jj=0,dat;//D:\FPGA_Proj\FPGAtest\codepzinitial 
beginfids = $fopen("D:\\FPGA_Proj\\FPGAtest\\code\\data.bmp","rb");dat  = $fread(image_buff,fids);$fclose(fids);
endinitial 
begin
i_clk=1;
i_clk_4=1;
i_clk_2=1;
i_rst=1;
#2000;
i_rst=0;
end always #40  i_clk=~i_clk;
always #10  i_clk_4=~i_clk_4;
always #20  i_clk_2=~i_clk_2;reg [7:0] II0;
always@(posedge i_clk) 
beginif(i_rst)beginII0<=0;jj<=0;endelsebeginif(jj<=66536+1078 & jj>=1079)i_en<=1'b1;elsei_en<=1'b0;II0<=image_buff[jj];jj<=jj+1;end
endtops tops_u(
.i_clk              (i_clk),
.i_clk_4            (i_clk_4),
.i_clk_2            (i_clk_2),
.i_rst              (i_rst),
.i_en               (i_en),
.i_I0               (II0),
.o_image            (o_image)
);reg[19:0]cnts;
always @(posedge i_clk_4 or posedge i_rst)
beginif(i_rst)begincnts<=20'd0;end
else begincnts<=cnts+20'd1;end
end  integer fout1;
integer fout2;
initial beginfout1 = $fopen("flager.txt","w");
endalways @ (posedge i_clk_4)beginif(cnts <= 66514*4)begin$fwrite(fout1,"%d\n",o_image);endelsebegin$fwrite(fout1,"%d\n",0);endendendmodule
0X_027m

4.算法理论概述

         图像插值是一种图像处理技术,用于通过已知的像素值来估计未知位置的像素值。最邻近插值(Nearest Neighbor Interpolation)是其中最简单的一种插值方法。在这种方法中,未知位置的像素值被赋予与其最邻近的已知像素相同的值。最邻近插值算法的原理非常简单。对于目标图像中的每个像素点,计算其在源图像中对应的位置。由于源图像和目标图像的分辨率可能不同,因此这个位置可能不是整数坐标。最邻近插值算法会选择距离这个位置最近的整数坐标处的像素值,作为目标像素点的值。

        最近邻插值,是指将目标图像中的点,对应到源图像中后,找到最相邻的整数点,作为插值后的输出。如下图所示:

        目标图像中的某点如果投影到原图像中的位置为点P,则此时取P最邻近点Q11,即 f ( P ) = f ( Q 11 ) f(P)=f(Q11) f(P)=f(Q11)。

       具体实现时,首先要确定目标图像的每个像素点在源图像中对应的位置,由于源图像和目标图像的分辨率可能不同,因此这个位置可能不是整数坐标。最邻近插值算法会选择距离这个位置最近的整数坐标处的像素值,作为目标像素点的值。

        在应用中,最邻近插值算法被广泛用于图像缩放等处理中。虽然其插值质量可能不如其他更复杂的插值算法,但由于其计算量小、实现简单,因此在许多实时图像处理应用中仍然被广泛使用。

5.算法完整程序工程

OOOOO

OOO

O

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/454524.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

基于MIDI 与USB 接口,使用《完美钢琴》安卓版连接雅马哈P48B学习钢琴!

文章大纲 概念简介USB OTG or Host?MIDI 实现效果需要购买的硬件&#xff1a;USB to HOST 转MIDI 接口的钢琴内录线连接后 查看外设关闭 拔出屏幕显示Close Device 概念简介 USB OTG or Host? USB&#xff08;Universal Serial Bus&#xff09;是一种通用的串行总线标准&am…

微信小程序(三十四)搜索框-带历史记录

注释很详细&#xff0c;直接上代码 新增内容&#xff1a; 1.搜索框基本模板 2.历史记录基本模板 3.细节处理 源码&#xff1a; index.wxml <!-- 1.点击搜索按钮a.非空判断b.历史记录&#xff08;去重&#xff09;c.清空搜索框d.去除前后多余空格2.删除搜索 3.无搜索记录不…

【51单片机】开发板&开发软件(Keil5&STC-ISP)简介&下载安装破译传送门(1)

前言 大家好吖&#xff0c;欢迎来到 YY 滴单片机系列 &#xff0c;热烈欢迎&#xff01; 本章主要内容面向接触过单片机的老铁 主要内容含&#xff1a; 欢迎订阅 YY滴C专栏&#xff01;更多干货持续更新&#xff01;以下是传送门&#xff01; YY的《C》专栏YY的《C11》专栏YY的…

Chronos靶机渗透

Chronos靶机 一.信息收集1.靶机IP地址确认2.目录扫描3.常见漏洞扫描5.web网站探测1.网页2.源代码 二.网站渗透1.命令执行2.抓包---burp suite3.反弹shell 三.提权1.node.js原核污染第一个flag 2.sudo提权第二个flag 一.信息收集 1.靶机IP地址确认 ┌──(root㉿kali)-[/] └─…

什么是Vue样式穿透以及常用的实现方法

在Web前端开发中&#xff0c;样式穿透是一个重要的主题&#xff0c;它可以帮助我们更好地定制化组件样式&#xff0c;提升用户体验。本文将为您介绍Vue中样式穿透的概念&#xff0c;以及几种常用的实现方法&#xff0c;希望对您的前端开发工作有所帮助。 什么是样式穿透&#…

(5)【Python/机器学习/深度学习】Machine-Learning模型与算法应用—12种聚类算法说明与归纳

目录 一、12种聚类(无监督学习)算法说明和区分比较 聚类算法的类型(一) ​编辑导入函数库 加载数据集 ​编辑 (1)K-Means --Centroid models (2)Mini-Batch K-Means -- Centroid models (3)AffinityPropagation (Hierarchical) -- Connectivity models (4)Mean Shift…

中科大计网学习记录笔记(四):Internet 和 ISP | 分组延时、丢失和吞吐量

前言&#xff1a; 学习视频&#xff1a;中科大郑烇、杨坚全套《计算机网络&#xff08;自顶向下方法 第7版&#xff0c;James F.Kurose&#xff0c;Keith W.Ross&#xff09;》课程 该视频是B站非常著名的计网学习视频&#xff0c;但相信很多朋友和我一样在听完前面的部分发现信…

骑砍战团MOD开发(43)-顶点着色技术

一.顶点着色(vertex_color) 实际GPU渲染时有顶点着色和纹理着色两种方式,顶点着色消耗资源小,GPU将顶点颜色通过插值运算进行渲染.常用于同一物体的不同颜色渲染,如青苹果,红苹果,可以使用动态切换顶点颜色实现,而不通过设置纹理图片实现. Direct3D9中可声明灵活顶点格式 stru…

二叉树(2)——堆的实现

堆表面是数组&#xff0c;内核是完全二叉树/满二叉树 在插入删除的时候要注意操作过后堆是否还是一个堆&#xff0c;要进行交换等操作。&#xff08;向上调整&#xff09; 逻辑上控制二叉树&#xff0c;物理上控制数组&#xff01;&#xff01;&#xff01; 接下来我们用【小…

DockerUI如何部署结合内网穿透实现公网环境管理本地docker容器

文章目录 前言1. 安装部署DockerUI2. 安装cpolar内网穿透3. 配置DockerUI公网访问地址4. 公网远程访问DockerUI5. 固定DockerUI公网地址 前言 DockerUI是一个docker容器镜像的可视化图形化管理工具。DockerUI可以用来轻松构建、管理和维护docker环境。它是完全开源且免费的。基…

vue基本语法总结大全

vue基本语法 文章目录 vue基本语法基本用法内容渲染指令属性绑定指令使用js表达式事件绑定指令条件渲染指令v-else和v-else-if指令列表渲染指令v-for中的key 组件化开发安装详细讲解 第三方组件1. 组件间的传值2. element-ui介绍3. 组件的使用4. 图标的使用 Axios网络请求1. Ax…

LeetCode、198. 打家劫舍【中等,一维线性DP】

文章目录 前言LeetCode、198. 打家劫舍【中等&#xff0c;一维线性DP】题目及分类思路线性DP&#xff08;一维&#xff09; 资料获取 前言 博主介绍&#xff1a;✌目前全网粉丝2W&#xff0c;csdn博客专家、Java领域优质创作者&#xff0c;博客之星、阿里云平台优质作者、专注…