平时积累的FPGA知识点(6)

在这里插入图片描述

平时在FPGA群聊等积累的FPGA知识点,第六期:

1 万兆网接口,发三十万包,会出现掉几包的情况,为什么?

原因:没做时钟约束,万兆网接口的实现,本质上都是高速serdes,用IP的话,IP会自带约束。

2 GT ip会输出一个rx clk 和tx clk,这俩都是恢复出来的主时钟,需要手动加create_clock吗?

解释:如果是7系列FPGA就要约束,之后的就不用。

3 vivado闪退后就打不开工程了,如何处理?

解释:上次闪退的时候破坏了run文件夹里的内容,把这个文件夹删除了之后就可以正常打开了,这个run文件夹指的是项目目录的一级子目录,名字是“项目名.runs”

4 ROM/RAM IP核生成例化后,如果只把硬盘中coe文件替换掉,没有重新生成IP核,新的coe文件会生效吗?

解释:不会。coe, mif,ver这类文件都像中间产物,仅替换的话在仿真层面是可以的,但生成的bit文件是没有更新的。免重新编译不能用仅替换coe文件的方式,可以用的方式是:完成初始设计、综合和实现,但不生成bit,打开布线后的dcp文件,找到想修改的ROM/RAM IP核,在properties界面直接修改初始化文件(原语),保存后就可以了。之后在生成bit文件就是更新后的coe内容了。

5 vivado 2018.2支持ML(Vivado ML Edition)吗?

解释:不支持。应该是2021年之后的版本开始支持的,看到有2022.2版。

6 set_property BLOCK_SYNTH.STRATEGY {ALTERNATE_ROUTABILITY} {get_cells usbEngine} 用了这个设置后,这个子模块需要进行单独综合吗?还是在整个工程一起综合的时候,有了这个属性设置,这个子模块单独使用这个策略综合,其他模块是全局默认策略?

解释:ug901文档中讲:The Block-Level Synthesis flow (BLOCK_SYNTH) uses a property that lets you use certain global settings and strategies on specific levels of hierarchy in a top-down flow that is differs from the top level of the full design.
块级别的综合过程(Block_SYNTH)使用一个特性,该特性允许您在自上而下的综合过程中的特定层次级别上使用某些全局设置和策略,该综合过程不同于完整设计的顶层。

7 设置的set_clock_groups命令也用的get_clocks,为什么综合后报告No valid objects found –group?

解释:检查一下get_clocks有没有返回对象。

8 设计用到8个pll,时序分析的时候,7个pll在slr1上,timing都收敛,另外一个pll跑到slr2上,结果不收敛。解决的方法?

解释:第一,pll的位置跟它的输入时钟位置相关,如果它的输入时钟就在slr2,那就没有办法把它放到slr1,即使用loc约束也无效
第二,确认可以改,可以用set_property loc这种可以指定位置的方法
第三,确认可以改,可以画pblock,在slr1上画一个pblock,把8个pll都assign到里面去。

9 较早知道时序违例情况的方法有吗?

解释:综合后就分析,如果建立时间违约且比较大(WNS<0.3ns),后面就先别跑了,先解决时序问题。

10 vivado中qor_assessment的分数怎么用?

解释:在综合后,看qor_assessment报告,给出的分数,3是及格分,5是最高分,如果分数是3,不建议往下走,有概率不收敛了,最好是得分到4或5。
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/469411.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

小白学Halcon100例:如何获取物品中心坐标并展示

文章目录 *读入彩色图片*分解彩色图片为三通道*阈值分割*链接*选择特征*提取目标中心*绘制目标中心--*设置线宽为1*创建十字轮廓*清空窗体*设置绘制模式为绘制边缘*显示目标*显示目标中心*读入彩色图片

快速搭建PyTorch环境:Miniconda一步到位

快速搭建PyTorch环境&#xff1a;Miniconda一步到位 &#x1f335;文章目录&#x1f335; &#x1f333;一、为何选择Miniconda搭建PyTorch环境&#xff1f;&#x1f333;&#x1f333;二、Miniconda安装指南&#xff1a;轻松上手&#x1f333;&#x1f333;三、PyTorch与Minic…

【HTML】交友软件上照片的遮罩是如何做的

笑谈 我不知道大家有没有在夜深人静的时候感受到孤苦难耐&#xff0c;&#x1f436;。于是就去下了一些交友软件来排遣寂寞。可惜的是&#xff0c;有些交友软件真不够意思&#xff0c;连一些漂亮小姐姐的图片都要进行遮罩&#xff0c;完全不考虑兄弟们的感受,&#x1f620;。所…

嵌入式C语言学习——基于Linux与GCC(二)

系列文章目录 一.C语言常用关键字及运算符操作 文章目录 系列文章目录内存四区指针指针概述指针 修饰符constvoliatiletypedef 指针运算符多级指针 数组数组空间字符空间及地址 结构体、共用体定义、字节对齐位域 内存分布图段错误分析 内存四区 C/C语言的内存四区&#xff…

[WinForm开源]概率计算器 - Genshin Impact(V1.0)

创作目的&#xff1a;为方便旅行者估算自己拥有的纠缠之缘能否达到自己的目的&#xff0c;作者使用C#开发了一款小型软件供旅行者参考使用。 创作说明&#xff1a;此软件所涉及到的一切概率与规则完全按照游戏《原神》(V4.4.0)内公示的概率与规则&#xff08;包括保底机制&…

SpringBoot整合第三方技术-缓存

&#x1f648;作者简介&#xff1a;练习时长两年半的Java up主 &#x1f649;个人主页&#xff1a;程序员老茶 &#x1f64a; ps:点赞&#x1f44d;是免费的&#xff0c;却可以让写博客的作者开心好久好久&#x1f60e; &#x1f4da;系列专栏&#xff1a;Java全栈&#xff0c;…

mysql5.6安装---windows版本

安装包下载 链接&#xff1a;https://pan.baidu.com/s/1L4ONMw-40HhAeWrE6kluXQ 提取码&#xff1a;977q 安装视频 1.解压完成之后将其放到你喜欢的地址当中去&#xff0c;这里我默认放在了D盘&#xff0c;这是我的根目录 2.配置环境变量 我的电脑->属性->高级->环境…

一周学会Django5 Python Web开发-Django5应用配置

锋哥原创的Python Web开发 Django5视频教程&#xff1a; 2024版 Django5 Python web开发 视频教程(无废话版) 玩命更新中~_哔哩哔哩_bilibili2024版 Django5 Python web开发 视频教程(无废话版) 玩命更新中~共计14条视频&#xff0c;包括&#xff1a;2024版 Django5 Python we…

COM初体验——新建文档并写入内容。

我想在程序里和Word交互。老师跟我说不要学COM&#xff0c;因为它已经过时了。但是我不想再把代码移植到C#上面&#xff0c;然后用VSTO——已经用了std::unordered_set&#xff01;因为我使用了Copilot&#xff0c;结合我的思考&#xff0c;写了下面的代码&#xff1a; #impor…

【JavaEE】网络原理: UDP协议和TCP协议的相关内容

目录 1. 应用层 2. 传输层 2.1 端口号 2.2 UDP协议 2.3 TCP协议 1.确认应答 2.超时重传 3.连接管理 三次握手 四次挥手 状态转换 4.滑动窗口 5.流量控制 6.拥塞控制 7.延迟应答 8.捎带应答 9.面向字节流 粘包问题 10.异常情况 网络通信中, 协议是一个非常重…

使用 Elasticsearch 和 OpenAI 构建生成式 AI 应用程序

本笔记本演示了如何&#xff1a; 将 OpenAI Wikipedia 向量数据集索引到 Elasticsearch 中使用 Streamlit 构建一个简单的 Gen AI 应用程序&#xff0c;该应用程序使用 Elasticsearch 检索上下文并使用 OpenAI 制定答案 安装 安装 Elasticsearch 及 Kibana 如果你还没有安装好…

软考27-上午题-查找

一、基本概念 1-1、查找表&#xff1a; 同一类型的数据元素构成的集合。 对查找表常用的操作&#xff1a; 从查找表中查询某个特定的元素&#xff1b;检索某个特定的元素的各种属性。 通常只进行这两种操作的查找表&#xff1a;静态查找表 1-1-2、静态查找表&#xff1a; 顺…