FPGA - 仲裁器的设计实现

一,为什么做仲裁

在多主单从的设计中,当多个源端同时发起传输请求时,这个时候就需要仲裁器来根据优先级来判断响应哪一个源端,向其传输数据。比如:以太网仲裁,DDR仲裁,光纤传图仲裁.....

二,仲裁类别

仲裁器分为轮询仲裁(Round-Robiin)固定优先级仲裁(Fixed-Priority),轮询仲裁,各个源端优先级相同,当同时发起请求时,依次进行响应,而固定优先级仲裁就是根据优先级顺序依次进行响应。

轮询仲裁:每一路数据的优先级都是一样的

中断仲裁:有一路或者多路的优先级是最高的

用的比较多的方法就是轮询仲裁

三,轮询仲裁

在实际项目中,如果需要用到仲裁,可以以2路数据作为分析:

① :缓存每一路的数据

        使用两个FIFO

        数据FIFO缓存:data+last(last信号的作用指示每一帧数据的边界)

        控制FIFO缓存:缓存数据对应的信息:类型、地址、长度……

② :设计状态机(轮询跳变)

        复位状态机处于IDLE,复位结束调到发送通道0的状态

        发送通道0状态:开始判断通道0的数据有没有来(询问),如果通道0没有来数据,则调到通道1

        如果通道0有数据来,则把通道0的数据从FIFO里面读出来发送出去,然后跳到通道1。

        发送通道1状态:开始判断通道1的数据有没有来(询问),如果通道1没有来数据,则调到通道2

        如果通道1有数据来,则把通道1的数据从FIFO里面读出来发送出去,然后跳到通道2。

        …….

四,轮询仲裁逻辑设计

以2通道设计为例:

`timescale 1ns / 1psmodule mux2_arbit(input						   clk           ,input                          reset         ,input	      [15:0]           ch0_type      ,  //默认所有通道传来的信号都是reg型,所以进行无需打拍input	      [15:0]           ch0_length    ,input	                       ch0_data_vld  ,input	                       ch0_data_last ,input	      [7:0]            ch0_data      ,input	      [15:0]           ch1_type      ,input	      [15:0]           ch1_length    ,input	                       ch1_data_vld  ,input	                       ch1_data_last ,input	      [7:0]            ch1_data      ,output	reg   [15:0]           send_type      ,output	reg   [15:0]           send_length    ,output	reg                    send_data_vld  ,output	reg                    send_data_last ,output	reg   [7:0]            send_data      );
/*--------------------------------------------------*\状态机信号定义 
\*--------------------------------------------------*/
reg [2:0]  cur_status;
reg [2:0]  nxt_status;
localparam IDLE      = 2'b00;
localparam CH0_SEND  = 2'b01;
localparam CH1_SEND  = 2'b10;
/*--------------------------------------------------*\FIFO端口信号 
\*--------------------------------------------------*/
reg	 [31:0]  ch0_frame_din    ;
reg          ch0_frame_wren   ;
wire [31:0]  ch0_frame_dout   ;
reg 		 ch0_frame_rden   ;
wire		 ch0_frame_wrfull ;
wire		 ch0_frame_rdempty;
wire [4:0]   ch0_frame_count  ;reg	 [31:0]  ch1_frame_din    ;
reg          ch1_frame_wren   ;
wire [31:0]  ch1_frame_dout   ;
reg 		 ch1_frame_rden   ;
wire		 ch1_frame_wrfull ;
wire		 ch1_frame_rdempty;
wire [4:0]   ch1_frame_count  ;reg	 [8:0]   ch0_data_din    ;
reg          ch0_data_wren   ;
wire [8:0]   ch0_data_dout   ;
reg 		 ch0_data_rden   ;
wire		 ch0_data_wrfull ;
wire		 ch0_data_rdempty;
wire [11:0]  ch0_data_count  ;reg	 [8:0]   ch1_data_din    ;
reg          ch1_data_wren   ;
wire [8:0]   ch1_data_dout   ;
reg 		 ch1_data_rden   ;
wire		 ch1_data_wrfull ;
wire		 ch1_data_rdempty;
wire [11:0]  ch1_data_count  ;/*--------------------------------------------------*\其他端口信号 
\*--------------------------------------------------*/
reg           ch0_busy;
reg           ch1_busy;reg           ch0_frame_fifo_err;
reg           ch1_frame_fifo_err;
reg           ch0_data_fifo_err ;
reg           ch1_data_fifo_err ;/*--------------------------------------------------*\通道0、通道1的数据写入FIFO 
\*--------------------------------------------------*/
always @(posedge clk) beginch0_frame_wren <= ch0_data_last;ch0_frame_din  <= {ch0_type,ch0_length};ch1_frame_wren <= ch1_data_last;ch1_frame_din  <= {ch1_type,ch1_length};    
endalways @(posedge clk) beginch0_data_wren  <= ch0_data_vld;ch0_data_din   <= {ch0_data_last,ch0_data};	     ch1_data_wren  <= ch1_data_vld;ch1_data_din   <= {ch1_data_last,ch1_data};		  
end/*--------------------------------------------------*\busy信号
\*--------------------------------------------------*/
always @(posedge clk) beginif (reset) ch0_busy <= 0;else if (cur_status == CH0_SEND && send_data_last) ch0_busy <= 0;else if (cur_status == CH0_SEND && ~ch0_frame_rdempty)ch0_busy <= 1;
endalways @(posedge clk) beginif (reset) ch1_busy <= 0;else if (cur_status == CH1_SEND && send_data_last) ch1_busy <= 0;else if (cur_status == CH1_SEND && ~ch1_frame_rdempty)ch1_busy <= 1;
end/*--------------------------------------------------*\状态机设计
\*--------------------------------------------------*/
always @(posedge clk) beginif (reset) cur_status <= IDLE;else cur_status <= nxt_status;
endalways @(*) beginif (reset) beginnxt_status <= IDLE;		endelse begincase(cur_status)IDLE : beginnxt_status <= CH0_SEND;endCH0_SEND : beginif (~ch0_busy && ch0_frame_rdempty)nxt_status <= CH1_SEND;else if (send_data_last)nxt_status <= CH1_SEND;else nxt_status <= cur_status;endCH1_SEND : beginif (~ch1_busy && ch1_frame_rdempty)nxt_status <= CH0_SEND;else if (send_data_last)nxt_status <= CH0_SEND;else nxt_status <= cur_status;enddefault : nxt_status <= IDLE;endcase	end
endalways @(posedge clk) beginif (reset) beginsend_type      <= 0;send_length    <= 0;send_data_vld  <= 0;send_data_last <= 0;send_data      <= 0;endelse begincase(cur_status)IDLE : beginsend_type      <= 0;send_length    <= 0;send_data_vld  <= 0;send_data_last <= 0;send_data      <= 0;endCH0_SEND : beginif (ch0_frame_rden) beginsend_type   <= ch0_frame_dout[31:16];send_length <= ch0_frame_dout[15:0];endelse beginsend_type   <= send_type;send_length <= send_length;endif (ch0_data_rden) beginsend_data_vld  <= 1'b1;send_data_last <= ch0_data_dout[8];send_data      <= ch0_data_dout[7:0];endelse beginsend_data_vld  <= 0;send_data_last <= 0;send_data      <= 0;endendCH1_SEND : beginif (ch1_frame_rden) beginsend_type   <= ch1_frame_dout[31:16];send_length <= ch1_frame_dout[15:0];endelse beginsend_type   <= send_type;send_length <= send_length;endif (ch1_data_rden) beginsend_data_vld  <= 1'b1;send_data_last <= ch1_data_dout[8];send_data      <= ch1_data_dout[7:0];endelse beginsend_data_vld  <= 0;send_data_last <= 0;send_data      <= 0;end		enddefault : ;endcaseend
end/*--------------------------------------------------*\FIFO读使能设计
\*--------------------------------------------------*/
always @(posedge clk) beginif (reset) ch0_frame_rden <= 0;else if (cur_status == CH0_SEND && ~ch0_frame_rdempty && ~ch0_busy) ch0_frame_rden <= 1'b1;else ch0_frame_rden <= 0;
endalways @(posedge clk) beginif (reset) ch1_frame_rden <= 0;else if (cur_status == CH1_SEND && ~ch1_frame_rdempty && ~ch1_busy) ch1_frame_rden <= 1'b1;else ch1_frame_rden <= 0;
endalways @(posedge clk) beginif (reset) ch0_data_rden <= 0;else if (ch0_data_rden && ch0_data_dout[8]) ch0_data_rden <= 0;else if (ch0_frame_rden)ch0_data_rden <= 1'b1;else ch0_data_rden <= ch0_data_rden;
endalways @(posedge clk) beginif (reset) ch1_data_rden <= 0;else if (ch1_data_rden && ch1_data_dout[8]) ch1_data_rden <= 0;else if (ch1_frame_rden)ch1_data_rden <= 1'b1;else ch1_data_rden <= ch1_data_rden;
end/*--------------------------------------------------*\调试信号 
\*--------------------------------------------------*/
always @(posedge clk) beginif (reset) ch0_frame_fifo_err <= 0;else if (ch0_frame_wren && ch0_frame_wrfull) ch0_frame_fifo_err <= 1;else ch0_frame_fifo_err <= ch0_frame_fifo_err;
endalways @(posedge clk) beginif (reset) ch1_frame_fifo_err <= 0;else if (ch1_frame_wren && ch1_frame_wrfull) ch1_frame_fifo_err <= 1;else ch1_frame_fifo_err <= ch1_frame_fifo_err;
endalways @(posedge clk) beginif (reset) ch0_data_fifo_err <= 0;else if (ch0_data_wren && ch0_data_wrfull) ch0_data_fifo_err <= 1;else ch0_data_fifo_err <= ch0_data_fifo_err;
endalways @(posedge clk) beginif (reset) ch1_data_fifo_err <= 0;else if (ch1_data_wren && ch1_data_wrfull) ch1_data_fifo_err <= 1;else ch1_data_fifo_err <= ch1_data_fifo_err;
end/*--------------------------------------------------*\例化 
\*--------------------------------------------------*/
fifo_w9xd2048 ch0_data_fifo (.clk       (clk),                 // input wire clk.srst      (reset),               // input wire srst.din       (ch0_data_din),        // input wire [8 : 0] din.wr_en     (ch0_data_wren),       // input wire wr_en.rd_en     (ch0_data_rden),       // input wire rd_en.dout      (ch0_data_dout),       // output wire [8 : 0] dout.full      (ch0_data_wrfull),     // output wire full.empty     (ch0_data_rdempty),    // output wire empty.data_count(ch0_data_count)       // output wire [11 : 0] data_count
);fifo_w9xd2048 ch1_data_fifo (.clk       (clk),                 // input wire clk.srst      (reset),               // input wire srst.din       (ch1_data_din),        // input wire [8 : 0] din.wr_en     (ch1_data_wren),       // input wire wr_en.rd_en     (ch1_data_rden),       // input wire rd_en.dout      (ch1_data_dout),       // output wire [8 : 0] dout.full      (ch1_data_wrfull),     // output wire full.empty     (ch1_data_rdempty),    // output wire empty.data_count(ch1_data_count)       // output wire [11 : 0] data_count
);fifo_w32xd16 ch0_frame_fifo (.clk       (clk),                // input wire clk.srst      (reset),              // input wire srst.din       (ch0_frame_din),      // input wire [31 : 0] din.wr_en     (ch0_frame_wren),     // input wire wr_en.rd_en     (ch0_frame_rden),     // input wire rd_en.dout      (ch0_frame_dout),     // output wire [31 : 0] dout.full      (ch0_frame_wrfull),   // output wire full.empty     (ch0_frame_rdempty),  // output wire empty.data_count(ch0_frame_count)    // output wire [4 : 0] data_count
);fifo_w32xd16 ch1_frame_fifo (.clk       (clk),                // input wire clk.srst      (reset),              // input wire srst.din       (ch1_frame_din),      // input wire [31 : 0] din.wr_en     (ch1_frame_wren),     // input wire wr_en.rd_en     (ch1_frame_rden),     // input wire rd_en.dout      (ch1_frame_dout),     // output wire [31 : 0] dout.full      (ch1_frame_wrfull),   // output wire full.empty     (ch1_frame_rdempty),  // output wire empty.data_count(ch1_frame_count)    // output wire [4 : 0] data_count
);endmodule

编写测试:

`timescale 1ns / 1psmodule tb();parameter CH0_LENGTH = 256 ;parameter CH0_PERIOD = 300 ; parameter CH1_LENGTH = 256 ;parameter CH1_PERIOD = 300 ;reg          clk;reg          reset;wire         ch0_data_vld;wire         ch0_data_last;wire  [7:0]  ch0_data;wire         ch1_data_vld;wire         ch1_data_last;wire  [7:0]  ch1_data;wire  [15:0] send_type;wire  [15:0] send_length;wire         send_data_vld;wire         send_data_last;wire   [7:0] send_data	;initial beginclk = 0;forever #(10) clk = ~clk;endinitial beginreset = 1;#(2000) reset = 0;enddata_generate #(.LENGTH(CH0_LENGTH),.PERIOD(CH0_PERIOD)) data_generate_ch0 (.clk            (clk),.reset          (reset),.send_data_vld  (ch0_data_vld),.send_data_last (ch0_data_last),.send_data      (ch0_data));data_generate #(.LENGTH(CH1_LENGTH),.PERIOD(CH1_PERIOD)) data_generate_ch1 (.clk            (clk),.reset          (reset),.send_data_vld  (ch1_data_vld),.send_data_last (ch1_data_last),.send_data      (ch1_data));mux2_arbit mux2_arbit(.clk            (clk),.reset          (reset),.ch0_type       (16'h0001),.ch0_length     (CH0_LENGTH),.ch0_data_vld   (ch0_data_vld),.ch0_data_last  (ch0_data_last),.ch0_data       (ch0_data),.ch1_type       (16'h0002),.ch1_length     (CH1_LENGTH),.ch1_data_vld   (ch1_data_vld),.ch1_data_last  (ch1_data_last),.ch1_data       (ch1_data),.send_type      (send_type),.send_length    (send_length),.send_data_vld  (send_data_vld),.send_data_last (send_data_last),.send_data      (send_data));endmodule

仿真波形

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/620707.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【Java开发指南 | 第二篇】标识符、Java关键字及注释

读者可订阅专栏&#xff1a;Java开发指南 |【CSDN秋说】 文章目录 标识符Java关键字Java注释 标识符 Java 所有的组成部分都需要名字。类名、变量名以及方法名都被称为标识符。 所有的标识符都应该以字母&#xff08;A-Z 或者 a-z&#xff09;,美元符&#xff08;$&#xff0…

从51到ARM裸机开发实验(009)LPC2138 中断实验

一、场景设计 中断的概念在《从51到ARM裸机开发实验(007) AT89C51 中断实验》中已经介绍过&#xff0c;LPC2138的Keil工程创建在《从51到ARM裸机开发实验(005)LPC2138 GPIO实验》中已经介绍过。本次使用LPC2138来实现一个这样的场景&#xff1a;四个LED依次亮灭&#xff0c;时间…

蓝桥杯2024年第十五届省赛

E:宝石组合 根据给的公式化简后变为gcd(a,b,c)根据算数基本定理&#xff0c;推一下就可以了 然后我们对1到mx的树求约数&#xff0c;并记录约数的次数&#xff0c;我们选择一个最大的且次数大于等3的就是gcd int mx; vector<int> g[N]; vector<int> cnt[N]; int…

如何应对MySQL单表数据量过大:垂直分表与水平分表策略解析

话接上回&#xff0c;单表最大数据建议两千万&#xff0c;那如果开发一个项目&#xff0c;预计注册量达到一个亿怎么办。 单表内放这么多数据&#xff0c;MYSQL底层B树的层级结构就可能会变得很高&#xff0c;磁盘io次数变多&#xff0c;性能会大幅度降低。所以考虑数据库分表…

光场相机建模与畸变校正改进方法

摘要&#xff1a;光场相机作为一种新型的成像系统&#xff0c;可以直接从一次曝光的图像中得到三维信息。为了能够更充分有效地利用光场数据包含的角度和位置信息&#xff0c;完成更加精准的场景深度计算&#xff0c;从而提升光场相机的三维重建的精度&#xff0c;需要实现精确…

大规模端云协同智能计算(大小模型端云协同联合学习)

原文作者&#xff1a;上海交通大学 吴帆 0 引言 目前&#xff0c;许多智能技术已经走入人们的日常生活&#xff0c;例如交互式商品推荐、人脸识别、语音识别、体征观测、疾病诊断、智能决策等&#xff0c;这些智能技术在为我们生活带来极大便利的同时&#xff0c;也深刻改变了…

解决VS2022创建项目只有解决方案看不到项目文件

问题&#xff1a;无法运行、看不到项目文件 解决&#xff1a; 检查环境变量是否正确

金属棒直线度测量仪:在线精准测量的关键工具

在现代工业生产中&#xff0c;金属棒的直线度是一个至关重要的参数。它的微小变化&#xff0c;直接关系到产品质量的优劣&#xff0c;因此准确测量金属棒的直线度成为了生产过程中的关键环节。 金属棒在线直线度测量仪应运而生&#xff0c;它是一种专门用于测量金属棒直线度的精…

秋招复习笔记——八股文部分:网络基础

TCP/IP 网络模型 应用层 最上层的&#xff0c;也是我们能直接接触到的就是应用层&#xff08;Application Layer&#xff09;&#xff0c;我们电脑或手机使用的应用软件都是在应用层实现。那么&#xff0c;当两个不同设备的应用需要通信的时候&#xff0c;应用就把应用数据传…

软件测试面试题分享(含答案+文档)

&#x1f345; 视频学习&#xff1a;文末有免费的配套视频可观看 &#x1f345; 点击文末小卡片&#xff0c;免费获取软件测试全套资料&#xff0c;资料在手&#xff0c;涨薪更快 准备找工作的小伙伴们&#xff0c;今天我给大家带来了一些自动化测试面试题&#xff0c;在这个公…

Vue 读取后台二进制文件流转为图片显示

Vue 读取后台二进制文件流转为图片显示 后台返回格式 <img :src"payImg" id"image" style"width: 150px;height: 150px;" alt"">axios写法 重点 responseType: ‘blob’ &#xff0c; 使用的是res中的data blob this.$axios.…

解读MongoDB官方文档获取mongo7.0版本的安装步骤与基本使用

mongo式一款NOSQL数据库&#xff0c;用于存储非结构化数据&#xff0c;mongo是一种用于存储json的数据数据&#xff0c;可以通过mongo提供的命令解析json获取想要的值。 数据模型 了解关系数据库会很熟悉database,table,row,column的概念&#xff0c;分别是数据库&#xff0c…