zabbix 监控系统搭建实战记录

https://www.zabbix.com/documentation/5.0/manual/installation/install_from_packages

1、下载zabbix、下载mysql

2、安装zabbix

、安装三个组件

yum install zabbix-server-mysql zabbix-web-mysql zabbix-agent

b、安装结果



c. 创建初始数据库

产品手册
Make sure you have database server up and running.

在数据库主机上运行以下代码。

mysql -uroot -p

password
mysql> create database zabbix character set utf8 collate utf8_bin;
mysql> create user zabbix@localhost identified by 'password';
mysql> grant all privileges on zabbix.* to zabbix@localhost;
mysql> quit;
导入初始架构和数据,系统将提示您输入新创建的密码。

zcat /usr/share/doc/zabbix-server-mysql*/create.sql.gz | mysql -uzabbix -p zabbix

查看数据库是否导入成功

d. 为Zabbix server配置数据库
编辑配置文件 /etc/zabbix/zabbix_server.conf

DBPassword=password
e. 为Zabbix前端配置PHP
编辑配置文件 /etc/httpd/conf.d/zabbix.conf, uncomment and set the right timezone for you.

php_value date.timezone Europe/Riga

f. 启动Zabbix server和agent进程
启动Zabbix server和agent进程,并为它们设置开机自启:

systemctl restart zabbix-server zabbix-agent httpd
systemctl enable zabbix-server zabbix-agent httpd

g. 配置Zabbix前端
连接到新安装的Zabbix前端: http://server_ip_or_name/zabbix
根据Zabbix文件里步骤操作: Installing frontend

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/724020.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

计组要我命第一天

要命计组(1) 开始时间 2024-06-12 21:27:38 结束时间 2024-06-12 23:23:14硬件系统由运算器、存储器、控制器、输入设 备和输出设备5个部件组成 运算器:完成算术和逻辑运算 输出设备:将机器的运算结果转换为人 可识别的信息 五大部件的基本功能 输入设备:将信息输入到计算…

【java基础】线程池的状态流转

前言: 首先要知道什么是线程池? 池化:数据库连接池, 线程池,字符串常量池 线程是用来执行任务的, 如果不用线程池,那么线程每次使用前创建,使用后释放,资源利用率低。所以说用线程池提高了线程对象利用率。 所以可以用线程池完成,先创建好那么多个线程对象在那等着,…

电脑连光猫中第一个IPTV端口而不是LAN端口导致无法上网

背景 卧室的电脑连接的是光猫的第一个端口,一直没有网络,网线检测8个灯也是之亮6个灯,以为是网线有问题,而没有想到是端口接错了,换一个端口就可以解决的问题。 光猫设备上iptv口和lan口有什么区别?光猫设备有lan口和iptvicon口,有些简称tv口,它与lan口有什么区别了,两…

第七章嵌入式作业

一、利用SysTick定时器编写倒计时程序,如初始设置为2分30秒,每秒在屏幕上输出一次时间,倒计时为0后,红灯亮,停止屏幕输出,并关闭SysTick定时器的中断。 Systick..c: void systick_disable(void) { // 禁止SysTick中断和停止SysTick计时器 SysTick->CTRL &= ~(SysT…

LLM大模型: llama源码要点解读(二)

1、attention机制:这算是transformer架构最大的创新点了!利用attention机制,找到token之间的相似度(或则说距离),根据相似度调整token本身的embedding值,本质就是根据token的context调整自身的embedding值,这个思路非常符合人脑对语言和语义的理解!比如”苹果“这个词,…

西电计科嵌入式程序设计课程实验源码

西电计科院嵌入式程序设计课程实验源码.前言 博主是21级计科院的,在此澄清一下部分学长留存的实验经验:实验比较基础,并不像之前所说非常棘手; 可以提前准备,但也没太大必要,认真做下午基本就能完全结束; 实验三代码需要自己编写,但结构清晰,较为容易;用 Qt 编写嵌入…

Navicat Premium 15永久使用,安装教程,快捷键

哈喽,大家好,我是木头左!引言 在当今信息化的时代,数据库已经成为了生活和工作中不可或缺的一部分。而Navicat作为一款功能强大的数据库管理工具,深受广大开发者和数据库管理员的喜爱。最新版的Navicat Premium 15 已经发布了,但是是收费的,可以免费试用14天,为了享受永…

嵌入式作业5

一、编写UART_2串口发送程序时,初始化需要设置哪些参数? 1. 需要为UART_2相关的各个变量赋值,初始化各个地址参数: 2. 关总中断 DISABLE_INTERRUPTS;3. 用户外设模块初始化 gpio_init(LIGHT_BLUE,GPIO_OUTPUT,LIGHT_ON); //初始化蓝灯 //uart_init(UART_User,115…

代码随想录 算法训练营d7 哈希表 Leetcode454 四数相加2 Leetcode383 赎金信 Leetcode15 三数之和 Leetcode18 四数之和

Leetcode454 四数相加2 题目链接 简单理解 四个数组的数 构成元组 相加为0 思想:参考力扣第一题 两数之和 才用哈希表解决问题 通过将ab数组之和存储到哈希表中,并记录次数 再通过 计算-(c+d)去匹配哈希表 如果存在 那么count+= 次数 即可 class Solution {public int f…

ColorEasyDuino上手指南

开发板介绍、环境搭建、应用示例介绍 ColorEasyDuino是嘉立创推出的一块Aduino开发板(类似物),具有丰富的外设接口:uart、i2c、spi、adc、pwm等;开发板设计参考原型是Arduino Uno,采用的芯片是ATMEGA328P,它的外观设计比较紧凑,把所有的IO都引出供开发者使用,可玩性、…

在 Wed 中应用 MyBatis(同时使用MVC架构模式,以及ThreadLocal 事务控制)

1. 在 Wed 中应用 MyBatis(同时使用MVC架构模式,以及ThreadLocal 事务控制) @目录1. 在 Wed 中应用 MyBatis(同时使用MVC架构模式,以及ThreadLocal 事务控制)2. 实现步骤:1. 第一步:环境搭建2. 第二步:前端页面 index.html3. 第三步:创建pojo包、service包、dao包、w…

嵌入式作业4

一、学习CH04示例程序:二、给出LIGHT_RED和LIGHT_OFF的宏定义值:三、直接地址编程实现三灯轮转: 不加延时代码则灯如图所示(红绿蓝三种灯一起亮,所以最后是青色的灯光):如上图所示,通过对GPIO端口进行直接地址编程,令指定引脚为输出引脚并对灯的亮灭进行控制。四、用调…