通用FIR滤波器的verilog实现(内有Lowpass、Hilbert参数生成示例)

  众所周知,Matlab 中的 Filter Designer 可以直接生成 FIR 滤波器的 verilog 代码,可以方便地生成指定阶数、指定滤波器参数的高通、低通、带通滤波器,生成的 verilog 代码也可以指定输入输出信号的类型和位宽。然而其生成的代码实在算不上美观,复用性也很差,要实现不同滤波特性的切换就要生成多个滤波器的代码。

  出于以上考虑,自己设计实现了 FIR 滤波器的通用 verilog 代码,其滤波器参数通过接口输入,从而可以通过输入不同的参数获得相应的滤波结果。verilog 代码如下:

/* * file         : FIR_filter.v* author       : 今朝无言* date		    : 2023-07-03* version      : v1.0* description  : FIR 滤波器*/
module FIR_filter(
input							clk,
input							rst_n,input				[16*N-1:0]	filter_params,input		signed	[15:0]		data_in,
output	reg	signed	[15:0]		data_out
);parameter	N		= 32;	//滤波器参数个数
parameter	div_N	= 16;	//sum结果除 2^div_N,作为 filter 的输出//FIR 滤波器参数
reg	signed	[15:0] b[0:N-1];integer	m;
always @(*) beginfor(m=0; m<N; m=m+1) beginb[m]	<= filter_params[(m << 4) +: 16];end
endreg	signed	[15:0]	shift_reg[0:N-1];integer	i;
always @(posedge clk) beginif(~rst_n) beginfor(i=N-1; i>=0; i=i-1) beginshift_reg[i]	<= 16'd0;endendelse beginfor(i=N-1; i>0; i=i-1) beginshift_reg[i]	<= shift_reg[i-1];endshift_reg[0]		<= data_in;end
endreg		signed	[31:0]	multi[0:N-1];integer	j;
always @(*) beginfor(j=0; j<N; j=j+1) beginmulti[j]	<= shift_reg[j] * b[j];//这里可以考虑使用multiplier IP核,使用LUT搭建(而这里直接乘使用的是DSP资源,一般的FPGA芯片只有几百个)end
endreg		signed	[47:0]	sum;integer	k;
always @(*) beginsum		= 0;for(k=0; k<N; k=k+1) beginsum	= sum + multi[k];end
endalways @(posedge clk) begindata_out	<= sum[47-div_N : 32-div_N];
endendmodule

Lowpass Filter示例

  当滤波器阶数较高时,滤波器参数如何给出无疑是个麻烦事,因此又编写了 matlab 代码,可以一键生成所需的 .v 文件以实现参数的配置:

%-----------FIR滤波器参数(生成.v)-----------------
clc,clear,close allfs=1e6;N=20;
Wn=0.1;
b = fir1(N, Wn); % 默认Hamming窗freqz(b,1,512)%% pramas
B=floor(b*65536);
B=B';%% test
t=0:1/fs:1e-3;
s=(mod(t,1e-4)<5e-5)*1.0;%s_filt=filter(B,1,s)/65536;
for i=1:size(s,2)-N-1s_filt(i)=s(i:i+N)*double(B)/65536;
endfigure
subplot(2,1,1)
plot(t,s)
subplot(2,1,2)
plot(t(1:end-N-1),s_filt)%% 生成.v
filename='FIR_params';
fid=fopen(['./v/',filename,'.v'],'w');fprintf(fid,['/* ','\n',...
' * file\t\t\t: ',filename,'.v','\n',...
' * author\t\t: 今朝无言','\n',...
' * date\t\t\t: 2023-07-04','\n',...
' * version\t\t: v1.0','\n',...
' * description\t: FIR 滤波器','\n',...
' */','\n']);fprintf(fid,['module ',filename,'(','\n',...
'output\t[',num2str(size(B,1)*16-1),':0]\tparams\n',...
');\n\n']);for i=1:size(B,1)if(B(i)>=0)hex=dec2hex(B(i),4);elsehex=dec2hex(65536+B(i),4);endfprintf(fid,['assign\t','params[',...num2str(i*16-1),':',num2str((i-1)*16),...']\t= 16','''','h',hex,';\n']);
endfprintf(fid,'\nendmodule\n');fclose(fid);

  testbench与测试结果如下

`timescale 1ns/100psmodule FIR_filter_tb();reg		clk_100M	= 1'b1;
always #5 beginclk_100M	<= ~clk_100M;
endlocalparam	N = 20;	//FIR滤波器阶数wire	[16*(N+1)-1:0]	filter_params;
FIR_params_0d1 FIR_params_inst(.params		(filter_params)
);reg				[15:0]	data_in;
wire	signed	[15:0]	data_out;FIR_filter #(.N(N+1))
FIR_filter_inst2(.clk			(clk_100M),.filter_params	(filter_params),		//滤波器参数.data_in		(data_in),.data_out		(data_out)
);reg		[7:0]	cnt		= 8'd0;always @(posedge clk_100M) begincnt		<= cnt + 1'b1;if(cnt<100)	begindata_in		<= -10000;endelse if(cnt<200)	begindata_in		<= 10000;endelse begindata_in		<= 0;end
endinitial begin#10000;$stop;
endendmodule

在这里插入图片描述

Hilbert 示例

  使用以上 FIR 滤波器代码,还可以实现许多其他滤波功能,比如常用的 90 度相移,可以使用 Hilbert 变换实现,Hilbert 滤波器参数的 matlab 生成代码如下

%-----------------Hilbert----------------------
clc,clear,close all%% Hilbert
N=200;% method 1		这种直接通过 h(n) 表达式生成的更为精确,推荐
n=(1:floor((N-1)/2));
b1=(1-(-1).^n)./(pi.*n);
if mod(N,2)==0b1=[0,b1,0,-b1(end:-1:1)]';
elseb1=[0,b1,-b1(end:-1:1)]';
end% method 2		构造 Hilbert 的频域特性,经 IFFT 获得
H=[-1j*ones(1,floor((N+1)/2)),1j*ones(1,floor(N/2))];
b2=ifft(H);
b2=real(b2)';b=b1;freqz(b,1,100)%% Filter
fs=1e3;
t=0:1/fs:1;
s=5*sin(2*pi*10*t);
% f >= fs/N 时,可以由很好的90度移相s2=filter(b,1,s);figure
hold on
plot(t,s,'r-')
plot(t,s2,'b--')
hold off%% 量化
B=floor(b*32768);
s3=filter(B,1,s)/32768;figure
hold on
plot(t,s,'r-')
plot(t,s3,'b--')
hold off%% 生成params.v
filename='Hilbert_params';
fid=fopen(['./v/',filename,'.v'],'w');fprintf(fid,['/* ','\n',...
' * file\t\t\t: ',filename,'.v','\n',...
' * author\t\t: 今朝无言','\n',...
' * date\t\t\t: 2023-08-04','\n',...
' * version\t\t: v1.0','\n',...
' * description\t: FIR滤波器参数(Hilbert)',...
'   N=',num2str(N),'\n',...
' */','\n']);fprintf(fid,['module ',filename,'(','\n',...
'output\t[',num2str(size(B,1)*16-1),':0]\tparams\n',...
');\n\n']);for i=1:size(B,1)if(B(i)>=0)hex=dec2hex(B(i),4);elsehex=dec2hex(65536+B(i),4);endfprintf(fid,['assign\t','params[',...num2str(i*16-1),':',num2str((i-1)*16),...']\t= 16','''','h',hex,';\n']);
endfprintf(fid,'\nendmodule\n');fclose(fid);

  仿真结果如下

在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/60499.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Linux 的基本使用

1、Linux 是什么 Linux 是一个操作系统. 和 Windows 是 "并列" 的关系 Linux 严格意义来说只是一个 "操作系统内核". 一个完整的操作系统 操作系统内核 配套的应用程序. CentOS 和 RedHat 的关系 RedHat一直都提供源代码的发行方式&#xff0c;Cent…

SpringBoot 3.x整合Fluent Mybatis极简流程

此为基础配置&#xff0c;不包括其他高级配置&#xff0c;需要其他高级配置请查阅官方文档&#xff1a;[fluent mybatis特性总览 - Wiki - Gitee.com](https://gitee.com/fluent-mybatis/fluent-mybatis/wikis/fluent mybatis特性总览) 版本信息 Spring Boot 版本&#xff1a…

使用ffmpeg将m4a及wav等文件转换为MP3格式

要使用ffmpeg将m4a及wav等文件转换为MP3格式&#xff0c;您可以按照以下步骤进行操作&#xff1a; 安装 ffmpeg 确保您已经安装了ffmpeg软件。如果没有安装&#xff0c;请访问ffmpeg的官方网站https://ffmpeg.org/ 并按照说明进行安装。 Win10 / Win11 可以通过 winget 命令…

【递归算法实践】验证二叉搜索树

目录 1. 递归算法 2. 递归实现验证二叉搜索树 3. 递归解法的实现逻辑 4. 递归实现的实例分析 1. 递归算法 递归是一种通过函数自身调用来解决问题的算法&#xff0c;它可以使代码更加简洁和优雅&#xff0c;同时也能够解决许多复杂的问题。在递归中&#xff0c;函数会不断…

【Redis】Spring/SpringBoot 操作 Redis Java客户端

目录 操作 Redis Java客户端SpringBoot 操作Redis 步骤 操作 Redis Java客户端 1.Jedis 2.Lettuce(主流) <-Spring Data Redis SpringBoot 操作Redis 步骤 1.添加Redis 驱动依赖 2.设置Redis 连接信息 spring.redis.database0 spring.redis.port6379 spring.redis.host…

成都优优聚拥有丰富美团代运营经验!

成都优优聚美团代运营是一家专业的电商运营服务机构&#xff0c;致力于帮助商家提升线上业绩&#xff0c;增加销售额。他们以优良的售后服务和卓越的业绩&#xff0c;赢得了众多商家的认可和信赖。 与成都优优聚美团代运营合作的好处是多方面的。首先&#xff0c;他们拥有一支经…

企业架构NOSQL数据库之MongoDB

目录 一、背景描述及其方案设计 (一)业务背景描述 &#xff08;二&#xff09;模拟运维设计方案 二、Mongodb介绍 &#xff08;一&#xff09;nosql介绍 &#xff08;二&#xff09;产品特点 1、存储性 2、 效率性 3、结构 三、安装和配置 &#xff08;一&#xff09…

Python-flask项目入门

一、flask对于简单搭建一个基于python语言-的web项目非常简单 二、项目目录 示例代码 git路径 三、代码介绍 1、安装pip依赖 通过pip插入数据驱动依赖pip install flask-sqlalchemy 和 pip install pymysql 2.配置数据源 config.py DIALECT mysql DRIVER pymysql USERN…

百度资深PMO阚洁受邀为第十二届中国PMO大会演讲嘉宾

百度在线网络技术&#xff08;北京&#xff09;有限公司资深PMO阚洁女士受邀为由PMO评论主办的2023第十二届中国PMO大会演讲嘉宾&#xff0c;演讲议题&#xff1a;运筹于股掌之间&#xff0c;决胜于千里之外 —— 360斡旋项目干系人。大会将于8月12-13日在北京举办&#xff0c;…

直播招聘小程序解决方案

项目开发愿景 介绍工作拿佣金&#xff0c;Boss直播现真身。做为直播招聘的新平台&#xff0c;让求职和招聘变得更简单&#xff01;企业发布招聘视频&#xff0c;展现公司环境与实力&#xff0c;开通会员可以直播招聘、在线面试功能&#xff1b;求职者刷视频可以刷到工作…

html实现商品图片放大镜,html图片放大镜预览

效果 实现 复制粘贴&#xff0c;修改图片路径即可使用 <!DOCTYPE html> <html><head><meta charset"UTF-8"><title>商品图片放大镜</title></head><style>body {margin: 0;padding: 0;}#app {padding: 10px;posit…

TartanVO: A Generalizable Learning-based VO 论文阅读

论文信息 题目:TartanVO: A Generalizable Learning-based VO 作者&#xff1a;Wenshan Wang&#xff0c; Yaoyu Hu 来源&#xff1a;ICRL 时间&#xff1a;2021 代码地址&#xff1a;https://github.com/castacks/tartanvo Abstract 我们提出了第一个基于学习的视觉里程计&…