根据状态转移图实现时序电路

描述

某同步时序电路的状态转换图如下,→上表示“C/Y”,圆圈内为现态,→指向次态。

请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。

如图所示:

电路的接口如下图所示,C是单bit数据输入端。 

如图所示:

输入描述

   input                C   ,input                clk ,input                rst_n

输出描述

output   wire        Y 

解题分析

        本题提供的是状态转换图,可采用状态机实现,也可采用列激励方程、输出方程,进而用D触发器和组合逻辑电路实现。本题解采用第二种方案实现。

        由状态转换图可得出,电路共4个状态,所以使用2个寄存器来实现状态的寄存。两个寄存器的输出为Q1和Q0,两个寄存器的输入为D1和D0。可列出状态转换表如下:

由状态转换表可列出激励方程如下:

 输出方程如下:

根据激励方程和输出方程以及思路整理,关键电路如下:

将电路转换成Verilog代码描述如下: 

reg Q1 ;reg Q0 ;always @(posedge clk or negedge rst_n)beginif(!rst_n)Q1 <= 1'b0;elseQ1 <= (Q1 & (Q0 | C)) | (~Q1 & Q0 & ~C);endalways @(posedge clk or negedge rst_n)beginif(!rst_n)Q0 <= 1'b0;elseQ0 <= (~Q1 & (Q0 | C)) | (Q1 & Q0 & ~C);endassign Y = (C & Q1) | (Q1 & Q0);

参考代码

`timescale 1ns/1nsmodule seq_circuit(input                C   ,input                clk ,input                rst_n,output   wire        Y   
);reg Q1 ;
reg Q0 ;always @(posedge clk or negedge rst_n)beginif(!rst_n)Q1 <= 1'b0;else Q1 <= (Q1 & (Q0 | C)) | (~Q1 & Q0 & ~C);
end 
always @(posedge clk or negedge rst_n)beginif(!rst_n)Q0 <= 1'b0;else Q0 <= (~Q1 & (Q0 | C)) | (Q1 & Q0 & ~C);
end assign Y = (C & Q1) | (Q1 & Q0); endmodule

方法二

`timescale 1ns/1nsmodule seq_circuit(input                C   ,input                clk ,input                rst_n,output   wire        Y   
);
reg [1:0] state;
always @(posedge clk or negedge rst_n)beginif(~rst_n)beginstate <= 2'b00;endelsebegincase(state)2'b00:beginif(C)state <= 2'b01;elsestate <= 2'b00;end2'b01:beginif(C)state <= 2'b01;elsestate <= 2'b11;end2'b10:beginif(C)state <= 2'b10;elsestate <= 2'b00;end2'b11:beginif(C)state <= 2'b10;else    state <= 2'b11;endendcaseendendassign Y = (state == 2'b11)?1'b1:(state == 2'b10)?C:1'b0 ;
endmodule

注:解题分析来源于网友,如有侵权,请告删之。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/615677.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Kubernetes篇(五)— Pod详解下篇

目录 前言Pod调度定向调度亲和性调度污点和容忍 前言 本章节将详细介绍Pod资源的各种配置&#xff08;yaml&#xff09;和原理。 Pod调度 ​ 在默认情况下&#xff0c;一个Pod在哪个Node节点上运行&#xff0c;是由Scheduler组件采用相应的算法计算出来的&#xff0c;这个过程…

基于SSM+Jsp+Mysql的大学生校园兼职系统

开发语言&#xff1a;Java框架&#xff1a;ssm技术&#xff1a;JSPJDK版本&#xff1a;JDK1.8服务器&#xff1a;tomcat7数据库&#xff1a;mysql 5.7&#xff08;一定要5.7版本&#xff09;数据库工具&#xff1a;Navicat11开发软件&#xff1a;eclipse/myeclipse/ideaMaven包…

HarmonyOS实战开发-本示例模拟倒计时场景,如何实现振动。

介绍 本示例模拟倒计时场景&#xff0c;通过ohos.vibrator 等接口来实现振动。 效果预览 使用说明 1.点击倒计时文本&#xff0c;弹出时间选择框&#xff0c;选择任意时间&#xff0c;点击确认&#xff0c;倒计时文本显示选择的时间。 2.点击start&#xff0c;开始倒计时&a…

Java POI (5)—— 复杂报表导出案例

一、需求分析 某个仪器会连续采集1~4通道的16进制的原始数据&#xff0c;现在需要将这些数据&#xff0c;先按照通道进行分类&#xff0c;然后将分好类的数据进行处理&#xff0c;转化成10进制&#xff0c;再最终带入到一个拟合公式&#xff0c;得到处理后的数据&#xff0c;然…

Flutter开发基础之动画专题

Flutter开发基础之动画专题 动画设计的作用是让UI界面更流畅、直观&#xff0c;能够有效的提升用户体验。 在Flutter开发中&#xff0c;动画分为多个方面&#xff1a; 基础动画、页面交互动画、绘图动画、矩阵变换等。 基本动画 常用的基本动画有透明度动画、缩放动画、旋转动…

leetcode73 矩阵置零

题目描述 给定一个 m x n 的矩阵&#xff0c;如果一个元素为 0 &#xff0c;则将其所在行和列的所有元素都设为 0 。请使用原地算法。 输入&#xff1a;matrix [[1,1,1],[1,0,1],[1,1,1]] 输出&#xff1a;[[1,0,1],[0,0,0],[1,0,1]] 输入&#xff1a;matrix [[0,1,2,0],[3,4…

基于机器学习预测岗位薪资

本文根据某招聘网站抓取的岗位信息&#xff0c;来预测该岗位平均薪资。 数据预处理 数据示例如下&#xff1a; 因为本文重点介绍如何实现预测&#xff0c;因此对于数据的预处理部分讲解一下处理逻辑&#xff1a; 1、统一薪资的单位&#xff0c;要么统一为年薪&#xff08;万/…

【员工培训体系的建立】使用培训积分制:量化培训效果

该公园希望通过定期培训来帮助员工获取有效信息和先进技术成果&#xff0c;不断提高员工的综合素质&#xff0c;适应新形势的需要&#xff0c;并且管理者逐步认识到&#xff0c;不应把现代公园的人员培训所产生人力资本的再生产视为一种消费&#xff0c;而应视为一种投资&#…

Android 四大组件启动

service: startService启动过程分析 - Gityuan博客 | 袁辉辉的技术博客 在整个startService过程&#xff0c;从进程角度看服务启动过程 Process A进程&#xff1a;是指调用startService命令所在的进程&#xff0c;也就是启动服务的发起端进程&#xff0c;比如点击桌面App图标…

3.Godot节点编辑操作及类的继承关系

1. 节点的父子关系 在层级树中&#xff0c;对象 (节点) 呈树形显示&#xff0c;一个节点下面&#xff0c;也可以下挂子节点 1 添加两个对象 2 拖拽一个对象到另一个对象&#xff0c;成为子对象 (子节点) 3 移动父对象、旋转父对象&#xff0c;观察可以发现&#xff0c;当父对…

【操作系统专题】计算机系统概述

&#x1f341;你好&#xff0c;我是 RO-BERRY &#x1f4d7; 致力于C、C、数据结构、TCP/IP、数据库等等一系列知识 &#x1f384;感谢你的陪伴与支持 &#xff0c;故事既有了开头&#xff0c;就要画上一个完美的句号&#xff0c;让我们一起加油 目录 前言1.基本构成2.微处理器…

MYSQL原理学习篇简记(二)

&#x1f44f;作者简介&#xff1a;大家好&#xff0c;我是小周同志&#xff0c;25届双非校招生Java选手&#xff0c;很高兴认识大家 &#x1f4d5;学习出处&#xff1a;本文是学自小林coding (xiaolincoding.com) 网站的MYSQL图解篇 &#x1f525;如果感觉博主的文章还不错的…