笔记:从Aurora 8b/10b 到Aurora 64b/66b (一):Aurora 8b/10b

news/2024/9/22 21:26:19/文章来源:https://www.cnblogs.com/VerweileDoch/p/18333145

参考:

https://www.xilinx.com/products/intellectual-property/aurora8b10b.html#documentation

https://docs.amd.com/r/en-US/pg046-aurora-8b10b

https://docs.amd.com/v/u/en-US/aurora_8b10b_ds797

https://mp.weixin.qq.com/s/gT4QUgvoFF6UI0PAhfEPvQ

补丁:

Aurora 系 IP内部都是不含COMMON(QPLL)的;

需要开发者自行例化然后接入,这是为了方便时钟共享;

Aurora 8b/10b仅支持小于6.6G的线速率;

64b/66b则支持10.3125G

简介

Aurora 8B/10B 内核(此图)是一种可扩展的轻量级链路层协议,用于高速串行通信。

该协议是开放的,可以使用 AMD FPGA 技术实现。

该协议通常用于需要简单、低成本、高速率数据通道的应用,并用于使用一个或多个收发器在设备之间传输数据。

Aurora 8B/10B 核心在连接到 Aurora 通道合作伙伴时会自动初始化通道,并以帧或数据流的形式在通道上自由传输数据。

Aurora 帧可以是任意大小,并且可以随时中断。

有效数据字节之间的间隙会自动填充空闲字节,以保持锁定并防止过度的电磁干扰。

流量控制可用于降低传入数据的速率或通过通道发送简短的高优先级消息。

流是单个、无休止的帧。在没有数据的情况下,将传输空闲字节以保持链接处于活动状态。

Aurora 8B/10B 核心使用 8B/10B 编码规则检测单位错误和大多数多位错误。过多的位错误、断开连接或设备故障会导致核心重置并尝试重新初始化新通道。

应用
Aurora 8B/10B 内核可用于各种应用,因为它们具有低资源成本、可扩展的吞吐量和灵活的数据接口。内核应用示例包括:

•芯片到芯片链接:用高速串行连接取代芯片之间的并行连接可以显著减少 PCB 上所需的走线和层数。内核提供使用 GTP、GTX 和 GTH 收发器所需的逻辑,同时将 FPGA 资源成本降至最低。

•板到板和背板链接:内核使用标准 8B/10B 编码,使其与许多现有的电缆和背板硬件标准兼容。Aurora 8B/10B 内核可以进行扩展,包括线路速率和通道宽度,从而允许在新的高性能系统中使用廉价的传统硬件。

•单工连接(单向):Aurora 协议提供了执行单向通道初始化的替代方法,使得在没有反向通道的情况下可以使用 GTP、GTX 和 GTH 收发器,并降低因未使用全双工资源而产生的成本。

用户数据和流控

https://mp.weixin.qq.com/s/gT4QUgvoFF6UI0PAhfEPvQ

其中用户与IP之间可以传输两种数据,一种是用户的发送或者接收的数据,称为用户PDU。另一种是用于控制发送数据速率的指令(简称流控),称为用户流量控制(User Flow Control Messages)。

Aurora 8B/10B协议发送数据的流程如下所示,需要经过Padding、组帧、8B/10B编码、串行化等几个过程。

Padding:因为Aurora 8B/10B信道传输的最小信息单位是两个字节,因此首先需要检测用户待发送数据字节数为奇数还是偶数。

如果是奇数,则在用户待发送数据后面补充一个值为0x9C(K28.4)的K码Pad数据。

组帧:就是在开始发送数据前发送2字节的起始码SCP(值分别为K28.2、K27.7),在帧尾发送两字节停止位ECP(值分别为K29.7、K30.7)。

8B/10B编码:

在传输之前,通过高速收发器的PCS中的8B/10B进行编码,用于填充数据的Pad被编码为控制字符,其余被编码为数据字符。

编码后的数据被串行化,以差分不归零(NRZ)格式传输。

Aurora 8B/10B协议接收数据的流程如下所示,包含串并转换、8B/10B解码、去除帧头帧尾和空闲字符、去除Pad等几个阶段。

 

串并转换:串行数据流以差分NRZ格式接收,将该数据反序列化为10位数据和控制符号。

8B/10B解码:串并转换后,链路层有效负载被解码为八位字节流。

在解码过程中,必须把停止位ECP之前的填充字符Pad标记,便于后续去除。

去除链路层:把解码后用户数据流中的起始位SCP、停止位ECP、空闲字符去除。

空闲字符可能是通过流控操作发送端插入的,

但空闲序列必须在偶数字节用户数据之后开始插入,并且插入偶数个空闲字符。去

除Pad:最后去除填充的Pad字符0x9C(K28.4),之后把得到的数据传输给用户。

 

 Aurora 8B/10B协议除了常规的用户数据收发,主要特点是支持可选的流量控制机制和多通道绑定机制。

可选的流量控制机制提供了低延迟流量控制,防止因为发送速率和接收速率不同导致的数据丢失。

Aurora 8B/10B协议支持User Flow Control(UFC)和Native Flow Control(NFC)两种流量控制机制,相关的流量控制方案如下图所示,下文将对这两种机制进行详细讲解。

 

 用户流量控制(UFC)

这个接口主要用于传输一些高优先级的数据,将UFC数据插入到用户待发送数据(UPDU)中,优先传输,一般用来传输比较重要的控制信息。下图是UFC数据的流向图,均是单向传输。

UFC开始传输后不能被时钟补偿序列、NFC或空闲序列中断。

因为UFC会中断用户数据的发送,因此Aurora 8B/10B协议实现可能需要将用户待发送数据暂存,防止数据丢失。

UFC的数据格式如下图所示,长度为4到18个字节,

第一个字节是用户流控制开始字符(SUF),是一个K码,值为K28.4。

第二个字节称为命令字节的数据字符,之后紧跟着发送用户需要传输的高优先级数据,数据长度为2到16个字节。

 

 注意K28.4可以用于SUF和填充,区别在于填充字符后面只能跟一个控制字符,不能跟一个数据字符。

UFC消息的长度为SIZE取值乘2加2,即SIZE*2+2。因为SIZE长度只有三位,因此UFC消息大小可以是2到16之间的任意偶数字节。

本地流量控制(NFC)

本地流量控制(Native Flow Control,简称NFC)是一种链路层流量控制机制,由Aurora 8B/10B接口生成并解释,而UFC是上层实现的机制。

NFC的机制其实比较简单,如下图所示,高速收发器A通过蓝色走线向高速收发器B传输数据。

由于双方的速率可能并不一致,导致高速收发器B的用户接收FIFO快溢出了,此时高速收发器B会生成NFC消息,然后通过发送端口传输给高速收发器A的接收端。

高速收发器A解析NFC消息之后,可能会暂停发送用户数据(蓝线暂停),而是发送空闲数据(黄线发送),接收端接收空闲数据会直接丢弃,不会存入接收FIFO,通过上述机制来防止接收FIFO溢出。

 注意NFC的优先级低于UFC,这是因为发送的UFC消息也不会存入接收端的FIFO中,即UFC的传输对接收端的FIFO溢出没有影响。

高速收发器A的发送端通过在请求的时间间隔内暂停发送用户数据,来响应接收端的NFC控制。

这段时间除了可以发送空闲序列之外,暂停还可以传输UFC和NFC数据,因为这些都没有存储在接收端的FIFO中。

发送端暂停的时间与接收端通过NFC传输的数据有关,

NFC的数据格式如下图所示,长度为两字节。

第一个字节是本地流控制开始字符(SNF),第二个字节是数据字符,称为命令字节。

命令字节包含暂停字段,该字段指定发送空闲字符的时钟周期数,下表显示了暂停字段的编码。

 
 

NFC暂停字段编码

PAUSE

暂停间隔(符号)

0000

0(XON)

0001

2

0010

4

0011

8

0100

16

0101

32

0110

64

0111

128

1000

256

1001~1110

保留

1111

无限(XOFF)

当发送端口收到接收端的NFC数据时,如果Aurora 8B/10B接口正在发送用户数据,

发送端可以通过完成模式或立即模式两种方式之一响应NFC。

完成模式需要等待用户这一帧数据发送完成之后才执行暂停的时间,

而立即模式可以直接中断用户当前数据的发送,直接暂停规定时间,一般采用立即模式;(存疑)

通过上面分析可知,NFC与用户收发数据的关系不大,是在Aurora 8B/10B协议内部完成的。

可能有点影响的是暂停时间设置多大合适,要考虑接收端与发送端传输的延迟,不能发送端接收到NFC时,接收端的FIFO就已经溢出了。

端口

Aurora 8B/10B 核心的主要功能模块包括:

• 通道逻辑:每个 GTP、GTX 或 GTH 收发器(以下称为收发器)由通道逻辑模块的一个实例驱动,该模块初始化每个单独的收发器并处理控制字符的编码和解码以及错误检测。

• 全局逻辑:全局逻辑模块执行通道初始化的绑定和验证阶段。在操作期间,该模块生成 Aurora 协议所需的随机空闲字符并监控所有通道逻辑模块的错误。

• RX 用户界面:AXI4-Stream RX 用户界面将数据从通道移动到应用程序并执行流控制功能。

• TX 用户界面:AXI4-Stream TX 用户界面将数据从应用程序移动到通道并执行流控制 TX 功能。标准时钟补偿模块嵌入在核心内部。该模块控制时钟补偿 (CC) 字符的定期传输。

 

端口描述
用于生成每个 Aurora 8B/10B 核心的参数决定了该特定核心可用的接口。

接口在 IP 符号中可见,如图所示。

在 IP 符号中,如果左键单击接口旁边的 + 号,则可以看到分组在其中的端口。

在本节(即端口描述)中,通常,接口显示为单行条目,后跟分组在其中的端口。

例如,在表:用户 I/O 端口 (TX) (TX) 中,USER_DATA_S_AXIS_TX 是接口,s_axi_tx_* 端口分组到该接口中。核心有四到六个接口。

用户端口

Aurora 8B/10B 核心可采用帧或流式用户数据接口生成。此接口包括流式或帧式数据传输所需的所有端口。

帧式用户界面符合 AMBA® AXI4-Stream 协议规范 [参考文献 4],并包含传输和接收帧式用户数据所需的信号。

流式接口允许在没有帧分隔符的情况下发送数据,操作更简单,并且比帧式接口占用更少的资源。数据端口宽度取决于通道宽度和所选通道数。

顶层架构
Aurora 8B/10B顶层架构如下图所示,包括收发器以及控制逻辑和用户接口。

该IP提供给用户两种接口,即帧接口和流接口。

其中帧接口为axi4_Stream接口,而流接口只有数据和有效指示信号,没有掩码信号和最后字节指示信号。

本节提供流式传输和帧传输接口的详细信息。用户界面逻辑的设计应符合此处所述的相应接口的时序要求。

AXI4-Stream Bit Ordering

Aurora 8B/10B 核心采用升序排序。它们首先传输和接收最高有效字节的最高有效位。此图显示了 Aurora 8B/10B 核心的 AXI4-Stream 数据接口的 n 字节示例的组织。

大端发送;

帧接口(Framing Interface)

帧接口的相关信号流向如下图所示,与AXI4-Stream接口信号基本一致,该接口可以传输任意字节数据。

 

User Interface Ports

Table: User I/O Ports (TX) and Table: User I/O Ports (RX) list duplex and simplex core AXI4-Stream TX and RX data port descriptions.

User I/O Ports (TX)

Name

Direction

Clock Domain

Description

USER_DATA_S_AXI_TX

s_axi_tx_tdata[0:(8n–1)] or s_axi_tx_tdata[(8n–1):0]

Input

user_clk

Outgoing data. n is the number of bytes computed as Number of lanes x Lane Width.

 

s_axi_tx_tready

Output

user_clk

Asserted when signals from the source are accepted and when outgoing data is ready to send.

s_axi_tx_tlast(1)

Input

user_clk

Signals the end of the frame.

s_axi_tx_tkeep[0:(n–1)] or s_axi_tx_tkeep[(n–1):0](1)

Input

user_clk

Specifies the number of valid bytes in the last data beat; valid only while s_axi_tx_tlast is asserted. s_axi_tx_tkeep is the byte qualifier that indicates whether the content of the associated byte of s_axi_tx_tdata is valid or not. The Aurora 8B/10B core expects the data to be filled continuously from LSB to MSB. There cannot be invalid bytes interleaved with the valid s_axi_tx_tdata bus.

s_axi_tx_tvalid

Input

user_clk

Asserted when outgoing AXI4-Stream signals or signals from the source are valid.

Notes:

1.This port is not available if the Streaming interface option is chosen.

User I/O Ports (RX)

Name

Direction

Clock Domain

Description

USER_DATA_M_AXI_RX

m_axi_rx_tdata[0:8(n–1)] or m_axi_rx_tdata[8(n–1):0]

Output

user_clk

Incoming data from channel partner (Ascending bit order).

m_axi_rx_tlast(1)

Output

user_clk

Signals the end of the incoming frame (asserted for a single user clock cycle).

m_axi_rx_tkeep[0:(n–1)] or m_axi_rx_tkeep[(n–1):0](1)

Output

user_clk

Specifies the number of valid bytes in the last data beat.

m_axi_rx_tvalid

Output

user_clk

Asserted when outgoing data and control signals or data and control signals from an Aurora 8B/10B core are valid.

Notes:

1.This port is not available if the Streaming interface option is chosen.

 注意下图是Aurora 8B/10B协议的高速收发器传输数据的帧格式,

在前文讲解Aurora 8B/10B协议时详细讲解过,注意SCP和ECP以字节为单位。

因此接收用户数据之后,可能会像之前自定义PHY那样去对数据进行拼接,但是这些事情都是在Aurora 8B/10B IP内部完成的,用户不需要关心,只需要了解即可。

户发送端口的时序如下图所示,待发送数据位宽为n字节,发送的数据量为3n字节,需要三个时钟传输。

s_axi_tx_tready拉高表示AXI4_Steram接口已准备好接收数据。

起始位/SCP/放置在通道的前两个字节上,以指示帧的开始,然后前n–2个用户数据字节放在通道上。

由于/SCP/需要偏移,每个用户数据的最后两个字节总是延迟一个周期,并在下一时钟的前两个字节发送。

s_axi_tx_tlast拉高表示结束用户数据传输,通过s_axi_tx_tkeep总线上的相应值,实现任意字节的传输。

下图中的s_axi_tx_tkeep设置为N,表示最后一个数据拍中的所有字节都有效。

当s_axi_tx_tlast拉高时,s_axi_tx_tready在下一个时钟周期拉低,

内核利用数据流中的间隙发送最终偏移数据字节和停止位/ECP/,指示帧结束。

s_axi_tx_tready在下一个周期重新拉高,以允许数据传输继续进行。

Aurora 8B/10B每次传输的数据必须是偶数字节,如果用户数据为奇数字节,则会在数据末尾添加一个Pad字符变为偶数字节。

如下图所示,用户最后一个数据宽度为n-1字节,IP内部在组帧时,会在有效数据末尾添加一个Pad字符。(KEEP也要改变的)

 用户接口使用帧格式传输数据,支持暂停数据传输功能,如下图所示。通过拉低s_axi_tx_tvalid并发送空闲序列来暂停前n个字节后的数据流,直到s_axi_tx_tvalid拉高为止。

从前文可知,时钟补偿的优先级是最高的,所以数据传输可能会被时钟补偿序列打断,对应时序图如下所示。时钟补偿序列会在每10000字节的通道上产生12字节的开销。

 

接收端口内部没有用于存储用户数据的缓冲器,因此在接收端口信号中没有m_axi_rx_tready信号。

m_axi_rx_tvalid信号与Aurora 8B/10B内核各帧的第一个数据同时拉高,m_axi_rx_tlast与各帧的最后一个数据同时拉高,m_axi_rx_tkeep端口指示每帧最后一个数据中的有效字节数,

m_axi_rx_tkeep信号仅在m_axi_rx_tlast拉高时有效。接收数据的时序如下图所示,m_axi_rx_tvalid为高电平时表示m_axi_rx_tdata对应数据有效,其余时间无效。

手册中还对该接口传输的效率做了计算,通过一个公式可以计算,也就是计算起始位、停止位、空闲数据、时钟补偿序列所带来的开销,

从而得到数据传输速率,最终通道越多,数据位宽越大、帧越长,效率越高。

用户数据位宽采用8字节、4通道传输一帧数据长度为1000,则效率可以达到99.14%。有兴趣的可以查看手册,获取具体计算方式。

流接口(Streaming Interface)

初始化后,除了发送时钟补偿序列时,通道始终可用于写入。

该接口特别简单,但是输入和输出数据位宽必须与数据信号位宽保持一致,不能传输任意字节数据。

Aurora 8B/10B内核通过拉高s_axi_tx_tready来表示已准备好传输数据。

一个周期后,用户逻辑拉高s_axi_tx_tvalid信号并将数据置于s_axi_tx_tdata,开始传输数据,如下图所示。

在下图中,发送数据D0和D1之后,Aurora 8B/10B内核拉低就绪信号s_axi_tx_tready,直到下一个时钟周期s_axi_tx_tready信号再次拉高时才会传输数据D2。

然后,用户逻辑在下一个时钟周期拉低s_axi_tx_tvalid,在s_axi_tx_tvalid和s_axi_tx_tready都拉高之前不传输数据。

接收

流模式下不做对齐,因为没用KEEP,建议使用帧模式。

流控端口

一些差别:

UFC:在UFC 握手期间提供报文,

握手拉低后在DATA通道提供UFC数据;

前文在Aurora 8B/10B协议中讲解了两种流量控制(UFC和NFC)的基本原理,

本节介绍xilinx的Aurora 8B/10B IP如何使用这两种流量控制。

注意只有使用成帧接口的内核才有两个可选的流量控制接口。

本地流量控制(NFC)控制全双工通道接收端的数据传输速率,用户流控制(UFC)为控制操作提供高优先级消息。

只有在配置IP时开启UFC功能,后续生成的IP才会有相应的功能,IP配置界面如下所示,当选定帧格式传输数据时,可以单独启用UFC功能,也可以同时启用UFC和NFC功能。

UFC对应的端口信号如下图所示,先看接收端的数据信号,就是axi_stream接口,与接收数据端口一致,因为UFC数据也是通过用户数据端口发送的。

 注意axi_ufc_tx_tdata并不是需要传输的UFC数据,而是UFC数据个数。

下图是UFC传输数据格式,第一字节是UFC传输的开始字符,SIZE表示其后面UFC字符长度,axi_ufc_tx_tdata的值就是SIZE对应数值,位宽也是一致的。

UFC消息的长度为SIZE取值乘2加2,即SIZE*2+2。因为SIZE长度只有三位,因此UFC消息大小可以是2到16之间的任意偶数字节。

 UFC数据是通过s_axi_tx_tdata信号传输的,s_axi_ufc_tx_tready拉高后的第一个时钟开始。

当s_axi_tx_tdata端口用于UFC数据时,内核会解拉低s_axi_tx_tready。

手册给出用户发送数据和UFC消息的机制如下,当s_axi_tx_tready有效时发送用户数据,否则发送UFC数据。

注意:只有在完成当前UFC请求后才能提出新的UFC请求且IP可能不支持背靠背UFC请求。

下图显示了传输单周期UFC消息的程序,在这种情况下,4字节的UFC消息通过4字节的发送数据接口发送。

注意:s_axi _tx_tready信号在这两个周期内无效,Aurora 8B/10B内核利用数据流中的这一间隙来传输UFC报头和消息数据。

如下图所示,位宽为2字节的用户发送数据接口传输4字节的UFC消息。

 

 s_axi_tx_tready被拉低三个周期,

一个周期用于在s_axi_ufc_tx_tready周期内发送的ufc报头,

两个周期用于UFC数据。

当Aurora 8B/10B内核收到UFC消息时,通过专用的UFC AXI4-Stream接口将数据输出给用户。

接收的UFC消息位于m_axi_ufc_rx_tdata端口,m_axi_ufc_rx_tvalid表示消息数据的开始,m_axi_ufc_rx_tlast表示结束,m_axi_ufc_rx_tkeep用于显示消息最后一个周期内m_axi_ufc_rx_tdata上的有效字节数。

下图显示了一个具有4字节数据接口的Aurora 8B/10B内核接收4字节UFC消息。

m_axi_ufc_rx_tkeep设置为4‘hF,表示接口只有四个最高有效字节有效。

图22 接收单周期UFC消息下图显示了一个具有4字节接口的Aurora 8B/10B内核接收8字节消息,输出数据帧有两个周期长,

m_axi_ufc_rx_tkeep在第二个周期设为4‘hF,表示所有四个字节的数据都有效。

 NFC界面

Aurora 8B/10B协议包括本地流量控制(NFC)接口如下图所示,通常用于防止接收端的FIFO溢出。

该接口允许接收器通过指定必须放入数据流的空闲数据个数来控制数据接收速率,

甚至可以通过请求发送器暂时只发送空闲信号(XOFF)来完全关闭数据流。

NFC接口包括一个用于发送nfc消息的请求(s_axi_nfc_tx_tvalid)和一个确认(s_axi_nfc_tx_tready)端口,

以及一个用于指定所请求的空闲周期数的4位s_axi_nfc_tx_tdata端口。

 

图24 Aurora 8B/10B内核NFC接口如果需要使用NFC接口,则在配置IP时需要勾选下图的四个NFC选项之一,NFC有完成模式和立即模式两种,前文也讲述过两者区别,一般使用立即模式比较好。

前文详细讲解过NFC实现的机制和原理,本质就是接收端FIFO快要溢出时,像发送端传输一个NFC消息,让发送端在指定周期内发送空闲字符,停止发送数据,

高速收发器A解析NFC消息之后,可能会暂停发送用户数据(蓝线暂停),而是发送空闲数据(黄线发送),接收端接收空闲数据会直接丢弃,不会存入接收FIFO,通过上述机制来防止接收FIF溢出。

NFC的优先级低于UFC,这是因为发送的UFC消息也不会存入接收端的FIFO中,即UFC的传输对接收端的FIFO溢出没有影响。

高速收发器A的发送端通过在请求的时间间隔内暂停发送用户数据,来响应接收端的NFC控制。

这段时间除了可以发送空闲序列之外,暂停还可以传输UFC和NFC数据,因为这些都没有存储在接收端的FIFO中。

发送端暂停的时间与接收端通过NFC传输的数据有关,NFC的数据格式如下图所示,长度为两字节。第一个字节是本地流控制开始字符(SNF),第二个字节是数据字符,称为命令字节。

 

如下图所示,当数据端口s_axi_tx_tdata发送NFC空闲字符时,s_axi_tx_tready为低电平,此时不能传输用户数据。

 

NFC在握手期间传递报文,握手拉低后传递NFC数据,但NFC数据只有命令,或者说延迟的标识;

 

表1 NFC暂停字段编码

PAUSE

暂停间隔(符号)

0000

0(XON)

0001

2

0010

4

0011

8

0100

16

0101

32

0110

64

0111

128

1000

256

1001~1110

保留

1111

无限(XOFF)

 

下图显示收到NFC消息时用户发送数据的端口时序。

在这种情况下,NFC数据为0001,请求发送端口发送两个空闲数据拍。

IP拉低用户接口的数据应答信号s_axi_tx_tready,

直到发送足够的空闲数据来满足请求。下图中内核在即时NFC模式下运行,NFC空闲被立即插入。Aurora 8B/10B内核也可以在完成模式下运行,在完成模式下,NFC空闲仅插入帧之间。

 

 由上述叙述可知,UFC是需要用户去控制s_axi_tx_tdata来传输数据的,而NFC由于是发送的空闲数据,因此用于其实不需要干预其数据信号。

 状态和控制接口
Aurora 8B/10B内核的状态和控制端口允许应用程序监控信道并使用收发器的内置功能。

本节提供了状态和控制接口、收发器串行I/O接口以及专用于单工模块的初始化端口的图表和端口描述。

如下图所示,在配置IP时,需要选择使用全双工还是单工模式,默认使用全双工模式传输数据。

下图表示不同模式下,状态信号和控制信号类型的区别。

下表列出了一些比较常用的控制信号和状态信号,一些不常用的可以自行参考手册,这里列多了就没有看下去的耐心了,所以列的都是重要的。

表2 状态和控制端口

信号

I/O

含义

channel_up

O

Aurora 8B/10B通道初始化完成且通道准备好数据传输时置位。

lane_up[0:m–1]

O

每位代表一个通道,该通道初始化成功时对应位置位。

frame_err

O

检测到通道帧或协议错误,将该信号拉高一个时钟。

hard_err

O

检测到硬错误时拉高,直到Aurora 8B/10B内核复位。

soft_err

O

在传入的串行流中检测到软错误时拉高。

Reset

I

复位Aurora 8B/10B内核,高电平有效,必须保持至少六个user_clk周期。

gt_reset

I

当模块首次上电时拉高,复位收发器的PCS和PMA。该信号使用init_clk_in消抖,且必须拉高六个init_clk_in周期。

link_reset_out

O

热插拔计数到期时变为高电平。

init_clk_in

I

当gt_reset有效时user_clk停止,建议init_clk_in的频率低于GT参考时钟输入频率。

1、软件错误:在高速收发器的运行过程中,由于通道噪声等因素的影响,数据传输可能会遇到错误。

8B/10B编码技术能够检测出所有单比特数据错误以及大多数多比特数据错误。当检测到这些错误时,会拉高软错误(soft_err)信号。

如果在短时间内检测到大量软错误,系统将执行复位操作。

2、硬件错误:Aurora 8B/10B可以监控每个收发器的硬件错误。硬件错误可能包括接收端或发送端buffer溢出、发送端和接收端时钟源频率差异超过100ppm等。

当检测到过多的软错误时,这可能触发硬件错误,会拉高硬件错误(hard_err)信号。

一旦检测到硬件错误,Aurora 8B/10B IP会自动进行复位并尝试重新初始化。

如果该问题得到解决,通道可以重新初始化,并建立新的连接。

3、帧错误:Aurora 8B/10B IP可以检测axi_stream的帧错误,帧错误可能包括空帧、连续的帧开始符号或连续的帧结束符号。

检测到帧错误后,拉高帧错误(frame_err)信号。

Aurora 8B/10B在上电、复位或硬错误后自动初始化,直到通道准备就绪。

lane_up总线指示通道中的哪些通道已完成通道初始化程序。

只有在内核完成整个初始化程序后,channel_up才会置位。

在channel_up有效之前,Aurora 8B/10B内核无法接收数据。

Aurora 8B/10B IP包含reset和gt_reset两个复位信号,前者用于复位除高速收发器以外的逻辑功能,后者用于复位高速收发器,本文以全双工模式讲解复位。

 Aurora 8B/10B内核的复位至少拉高六个user_clk时间周期,channel_up会在三个user_clk周期后拉低,如下图所示。

图30 双工内核中的复位拉高下图显示了复位高速收发器的时序,gt_reset至少拉高六个init_clk_in时间周期。

由于user_clk是由txoutclk生成的,当高速收发器复位时,txoutclk会停止产生,因此经过几个时钟之后user_clk也会停止产生,之后channel_up拉低。

 图31 双工内核中的gt_reset拉高两个复位信号的上电时序应该如下所示,开始均处于高电平,当首先拉低gt_reset,当高速收发器复位完成,稳定产生user_clk之后,reset才拉低,然后对逻辑部分完成复位。

 当内核工作过程中,如果要进入复位状态,应该遵循以下时序。首先应该拉高reset,让内核逻辑进入复位,之后拉高gt_reset,防止停止产生user_clk后内核逻辑卡死。

 注意该IP的用户数据的大端模式可以更改为小端模式,更改方式如下图所示,在配置IP时勾选“Little Endian Support”即可。

 延迟时间
通过Aurora 8B/10B内核的延迟是由通过协议引擎(PE,FPGA可编程逻辑实现)和收发器的管道延迟引起的。

PE流水线延迟随着AXI4流接口宽度的增加而增加,收发器延迟取决于所选收发器的特性和属性。

注意:这些延迟不包括因Aurora 8B/10B通道两端之间的串行连接长度(PCB走线等)而产生的延迟。下图显示了默认配置下数据路径的延迟,延迟可能因设计中使用的收发器和IP配置而异。

Aurora 8B/10B内核吞吐量取决于收发器数量和目标线路速率。

使用20%的Aurora 8B/10B协议编码开销和0.5 Gb/s至6.6 Gb/s的线路速率范围计算单通道设计和16通道设计的吞吐量,得到吞吐量范围[0.4,84.48]Gb/s。

本文的重点在于前两部分,用户需要着重了解用户接口以及流控原理和实现方式,才能够正常使用该IP。

通过本文的分析可知,该IP就是对GTX收发器的上层封装、内部完成组帧和接收端字节对齐、流控、时钟补偿、CRC校验等等功能。

相比自定义PHY,该IP更加简单,增加流控功能后接收端接收数据会更加准确,防止数据溢出,

缺点在于用户不能操作GTX,GTX的发送通道和接收通道必须使用buffer同步数据,不能使用相位对齐电路,导致数据延迟会比较大。如果设计对延时比较敏感,可能该IP将不再适用。

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/774192.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

矩阵指数的定义

人就像是被蒙着眼推磨的驴子,生活就像一条鞭子;当鞭子抽到你背上时,你就只能一直往前走,虽然连你也不知道要走到什么时候为止,便一直这么坚持着。

虚树【学习笔记】

为什么要用虚树? 例题 在某些树上问题中,对于某次询问,我们并不需要用到全部的树上的点: 例如,例题中:总点数 \(n \le 2.5\times10^5\) 询问次数 \(m \le 5\times10^5\) 询问的点数 \(\sum k_i \le 5\times10^5\)我们可以发现其实每次询问均摊下来的询问点数k并不多,但如…

组合机床与自动化加工技术的网站开发

这两天一直在学习如何排版,开发一个网站,我运用了前几天所学的vue基本语法将网站的大致模样copy下来这期间我查找了不少知识 比如照片如何在网页中不变性的缩放,通过研究我运用class固定结构和class="container"属性将组件固定形成此网页

火山引擎VeDI数据技术分享:两个步骤,为Parquet降本提效

本文将介绍字节跳动基于Parquet格式降本增效的技术原理和在具体业务中的实践,首先介绍了Parquet格式在字节跳动的应用,然后将结合具体的应用场景:小文件合并和列级TTL ,从问题产生的背景和解决问题的技术方案出发,介绍如何基于Parquet格式实现降本增效的目标。更多技术交流…

图片预加载和懒加载

🧑‍💻 写在开头 点赞 + 收藏 === 学会🤣🤣🤣图片预加载和图片懒加载是网页优化的两种常见技术,它们可以提升用户体验并改善网页性能。 图片预加载(Image Preloading): 图片预加载是指在页面加载时提前加载图片,使其缓存在浏览器中,当用户需要查看这些图片时,…

P4062 Yazid 的新生舞会

谨以此文纪念一场灾难 来给这位善良的人的人点点赞首先 题面中所指的众数为 绝对众数(绝对众数是指在一组数据中出现次数 \(超过\) 总数一半的数值。), 下文的所有众数也指绝对众数。 有以下性质任意一个区间的绝对众数的数值唯一如果\(x\)是区间\([l,r]\)的众数,那么对于\…

KubeSphere 部署向量数据库 Milvus 实战指南

作者:运维有术星主Milvus 是一个为通用人工智能(GenAI)应用而构建的开源向量数据库。它以卓越的性能和灵活性,提供了一个强大的平台,用于存储、搜索和管理大规模的向量数据。Milvus 能够执行高速搜索,并以最小的性能损失扩展到数百亿向量。其分布式架构确保了系统的高可用…

利用DYNAMIXEL智能伺服舵机从《传送门2》中打造一个更优质的动画机器人小麦克利(Wheatley)

原文链接:https://www.youtube.com/watch?v=OEn9hZ-Tw1E这段视频由ROBOTIS提供! 大家好,我想给大家推荐一个精彩视频,在视频中展示了如何制作《传送门2》中的动画机器人小麦克利(Wheatley)。看看是如何利用DYNAMIXEL智能伺服系统让小麦克利活起来的。对于那些可能想设计…

GIS视效升级!零代码添加环境效果,支持多种GIS影像协议

在当今的数字化时代,GIS(地理信息系统)不再仅仅只能通过一些二维示意图或简陋的三维地形图表示,它可以通过专业的软件简单升级视效。想象一下,在你的GIS场景中,阳光明媚的天气、突如其来的暴风雨、缭绕的晨雾,统统都可以通过零代码的操作轻松实现,而这个效果我是使用一…

HomeServer平台选择,介绍常用功能

​​ 平台选择 HomeServer 的性能要求不高,以下是我的硬件参数,可供参考: ‍ 硬件:平台:旧笔记本 CPU:i5 4210u 内存 8G 硬盘:128G 固态做系统盘,1T+1T 机械盘组 RAID1 做存储。 硬盘柜:盘位不足使用硬盘柜做拓展,硬盘柜一定要有散热风扇。‍ 待机功耗:笔记本 + 三块…

waf 应用防火墙部署配置

部署方法: 这里拓扑如下:透明部署: 采用接口对的形式部署 从一个口进 从另一个口出 不存在路由交换 可以将设备看做一条线路 这里使用迪普科技的设备进行演示: 首先创建接口对: 基本》接口管理》组网配置》透明模式此时只需要串联设备到线路中即可 这种配置方式不支持负载…

MySQL的binlog日志保存时效设置

若不设置,就会出现上图情况,Data目录体积日益增大。 修改方式:打开C:\ProgramData\MySQL\MySQL Server 8.0下的my.ini,末尾增加: max_binlog_size = 500M expire_logs_days = 5 代表bin log最大体积为500MB,且仅保留5天。 设置之后重新启动MySQL80服务,可以看到效果:…