【FPGA】线性反馈移位寄存器(LFSR)的Verilog实现

什么是移位寄存器

移位寄存器:是指多个寄存器并排相连,前一个寄存器的输出作为下一个寄存器的输入,寄存器中存放的数据在每个时钟周期向左或向右移动一位。

下面的右移移位寄存器因为左侧没有有效输入,所以在第4个时钟周期,寄存器内就已经没有有效数据了。
在这里插入图片描述

反馈移位寄存器:寄存器被移出的数据后又通过某种方式或函数重新连接到了移位寄存器的输入端,从而使得移位寄存器有不断的输出。
在这里插入图片描述

线性反馈移位寄存器(Linear-Feedback Shift Register,LFSR):当反馈移位寄存器的反馈函数为线性函数时,就称这个移位寄存器是反馈移位寄存器。LFSR所用的线性反馈函数一般为 异或 或者 同或
在这里插入图片描述

在每个时钟周期,LFSR的新的输入值会被反馈到内部各个寄存器的输入端,输入值中的一部分来源于LFSR的输出端,另一部分来源于LFSR各输出端进行异或运算得到。

什么是LFSR

LFSR的初始值被称为种子(Seed)。由异或门构成的LFSR的种子不能为全0,因为0与0异或永远为0,所以移位寄存器的输出永远都不会变化。同理,由同或门构成的LFSR的种子则不能为全1

LFSR中的寄存器的个数被称为LFSR的级数。一个3级的LFSR最多同时存放3bit数据。一个n级的LFSR最多只有2^n - 1个状态(因为要排除全0状态 或 全1状态),比如3级的LFSR就只有7个状态。

LFSR的有些位参与反馈,有些位不参与反馈,其中参与的位被称为抽头。因为触发器编号从1开始,因此抽头的取值范围是1~(2^n-1)。

如果设计得当(与抽头有关),那么LFSR产生的状态可以是周期性的。只要选择合适的反馈函数便可使序列的周期达到最大值(2^n-1),周期达到最大值的序列称为m序列( m-sequence )。比如下面的LFSR(假设种子为111)会依次产生
111>110>100>001>010>101>011 这7个状态,然后又重新从111开始循环。
在这里插入图片描述
不同的级数如何选取正确的抽头?可以参考下面这个表,表内的抽头选取都是可以保证LFSR能运转到最多状态的。
在这里插入图片描述

LFSR的两种分类

目前有两类常用的LFSR:斐波那契LFSR伽罗瓦LFSR,下面分别就进行介绍。

斐波那契LFSR(Fibonacci LFSRs),又被称为多到一型LFSR,即多个触发器的输出通过反馈来驱动一个触发器的输入。下图是一个典型的斐波那契LFSR,反馈抽头为3和2。
在这里插入图片描述
伽罗瓦LFSR(Fibonacci LFSRs),又被称为一到多型LFSR,即以个触发器的输出通过反馈来驱动多个触发器的输入。下图是一个典型的伽罗瓦LFSR,反馈抽头同样为3和2。这个伽罗瓦LFSR同样可以产生7级序列,但是序列的排序与斐波那契LFSR产生的序列是不同的。

在这里插入图片描述
斐波那契LFSR和伽罗瓦LFSR有同样的功能,但是伽罗瓦LFSR的电路性能要由于斐波那契LFSR,因为它在两个触发器之间只使用了一个异或门(或者同或门)。

Verilog实现与仿真

下面用Verilog分别实现抽头为(8.6.5.4)的8级斐波那契LFSR和伽罗瓦LFSR,8级LFSR的状态数为2^8-1=255个。
.
这个网站LFSR自动工具有一个很好用的LFSR工具,可以自定义抽头、级数,反馈方式和LFSR种类,它可以自动生成Verilog代码和穷举所有的LFSR状态。
在这里插入图片描述

斐波那契LFSR

用同或门作为反馈函数生成抽头为(8.6.5.4)的8级斐波那契LFSR,Verilog代码如下:

//8级斐波那契LFSR(多到1型LFSR)设计
//同或门作为反馈函数,反馈多项式为 f(x)=x^8 + x^6 + x^5 + x^4 + 1
module LFSR8_Fib(input 				clk,input	     	 	rst,	output reg [7:0]	lfsr
);always @(posedge clk) beginif(rst)//同或门种子可以选取全0,同时FPGA复位后也会复位到0,比较方便lfsr <= 8'h0;	else begin//抽头从1开始为8、6、5、4lfsr[0] <= ~(lfsr[3] ^ lfsr[4] ^ lfsr[5] ^ lfsr[7]);//低位移动到高位lfsr[7:1] <= lfsr[6:0];end
end

生成的LFSR示意图如下:
在这里插入图片描述
为了验证生成电路的正确性,需要编写TB文件进行验证。对于这种简单的模块(只有255个状态),最简单的验证办法就是穷举所有状态与正确状态进行对比即可。方法有几种:

方法1:手动对比
移位LFSR软件提供了所有正确的输出,所以我们只需要将正确的向量与仿真出来的波形结果一一对比即可,这种方法简单,但是效率较低,且容易出错。编写的TB文件如下:

`timescale 1ns/1ns
module tb_LFSR8_Fib();
//信号声明
reg				clk;
reg				rst;
reg		[7:0] 	cnt;	//记录状态个数,一共255个(没有全1状态)
wire	[7:0]	lfsr;//模块实例化
LFSR8_Fib	inst_LFSR8_Fib(.clk	(clk),.rst	(rst),	.lfsr   (lfsr)
);	//生成时钟信号
initial beginclk	= 1'b1;forever #5 clk = ~clk;
end//生成复位信号
initial beginrst = 1'b1;	//复位#45 rst = 1'b0; //取消复位
end//仿真过程
initial beginwait(cnt == 255);	//所有状态都仿真结束#10 $stop;			//关闭仿真
end//记录状态个数,每个有效时钟周期加1
always@(posedge clk)beginif(rst)cnt <= 8'd0;else begincnt <= cnt + 1'd1;end
endendmodule

仿真结果如下:
在这里插入图片描述
与正确向量一一对比即可,发现仿真结果无误。
在这里插入图片描述

方法2:将仿真结果打印到Tcl窗口,然后复制到文件,再与正确向量对比。对比方式可以是手动对比,也可以是用插件自动进行对比。

这种方法只需要部分修改TB文件:

//记录状态个数,每个有效时钟周期加1
always@(posedge clk)beginif(rst)cnt <= 8'd0;else begincnt <= cnt + 1'd1;$display("%h",lfsr);	//打印每一个状态到窗口end
end

然后Tcl窗口就打印出了仿真结果:
在这里插入图片描述
方法3:基本与方法2类似,只不过将将仿真结果直接打印到文件,再与正确向量对比。对比方式可以是手动对比,也可以是用插件自动进行对比。TB文件如下:

`timescale 1ns/1ns
module tb_LFSR8_Fib();
//信号声明
reg				clk;
reg				rst;
reg		[7:0] 	cnt;	//记录状态个数,一共255个(没有全1状态)
wire	[7:0]	lfsr;//定义文件句柄
integer		handle_file_out;	//模块实例化
LFSR8_Fib	inst_LFSR8_Fib(.clk	(clk),.rst	(rst),	.lfsr   (lfsr)
);	//生成时钟信号
initial beginclk	= 1'b1;forever #5 clk = ~clk;
end//生成复位信号
initial beginrst = 1'b1;	//复位#45 rst = 1'b0; //取消复位
end//仿真过程
initial beginwait(cnt == 255);	//所有状态都仿真结束#10 $fclose(handle_file_out);	//关闭文件$stop;				//关闭仿真
end//打开文件file_out,相对路径需要与TB文件在同一目录下
initial begin//handle_file_out = $fopen("file_out.txt","w");//相对路径handle_file_out = $fopen("G:/file_out.txt","w");//绝对路径
end//记录状态个数,每个有效时钟周期加1
always@(posedge clk)beginif(rst)cnt <= 8'd0;else begincnt <= cnt + 1'd1;//$display("%h",lfsr);	//打印每一个状态到窗口//打印每一个状态到文件$fdisplay(handle_file_out,"%h",lfsr);	end
endendmodule

打开file_out文件,可以看到数据都已经被保存好了:
在这里插入图片描述
方法4:在TB文件中读取正确向量并自动与仿真结果一一对比,若对比有误则输出某个标志信号。TB文件如下:

`timescale 1ns/1ns
module tb_LFSR8_Fib();
//信号声明
reg				clk;
reg				rst;
wire	[7:0]	lfsr;reg		[7:0] 	cnt;				//记录状态个数,一共255个(没有全1状态)
reg		[7:0] 	lfsr_gold [0:254];	//构建一个数组来存储正确向量,位宽为8,个数为255个
reg				flag;				//错误标志,1表示对比错误;0表示对比正确
reg		[7:0]	cnt_error;			//错误计数器//模块实例化
LFSR8_Fib	inst_LFSR8_Fib(.clk	(clk),.rst	(rst),	.lfsr   (lfsr)
);	//生成时钟信号
initial beginclk	= 1'b1;forever #5 clk = ~clk;
end//生成复位信号
initial beginrst = 1'b1;	//复位#45 rst = 1'b0; //取消复位
end//读取正确向量
initial begin$readmemh("G:/file_in.txt",lfsr_gold);	//绝对路径
end//仿真过程
initial beginwait(cnt == 255);	//所有状态都仿真结束if (cnt_error == 0)//打印仿真成功信息$display("simulation succeed!");else//打印仿真错误信号$display("simulation failed,there is %d errors!",cnt_error);#10 $stop;			//关闭仿真	
end//记录状态个数,每个有效时钟周期加1
always@(posedge clk)beginif(rst)begincnt <= 8'd0;flag <= 1'b0;cnt_error <= 8'd0;end	else begincnt <= cnt + 1'd1;if(lfsr_gold[cnt] != lfsr)begin	//如果对比有误//$display("cnt=%d is wrong",cnt);	//打印错误的地方flag <= 1'b1;				//拉高错误标志cnt_error <= cnt_error + 1;	//错误计数器加1endelse beginflag <= 1'b0;cnt_error <= cnt_error;end	end
endendmodule

为了对比仿真结果,我故意把正确向量的第2个数据改成错误数据,仿真结果如下:
在这里插入图片描述
Tcl窗口也打印了错误:
在这里插入图片描述
把正确向量的错误修正后再仿真,仿真无误,打印的信息如下:
在这里插入图片描述
这种方式可以自动对比正确向量与仿真结果,大大提高了效率。

伽罗瓦LFSR

用同或门作为反馈函数生成抽头为(8.6.5.4)的8级伽罗瓦LFSR,Verilog代码如下:

//8级伽罗瓦LFSR(1到多型LFSR)设计
//同或门作为反馈函数,反馈多项式为 f(x)=x^8 + x^6 + x^5 + x^4 + 1
module LFSR8_Gal(input 				clk,input	     	 	rst,	output reg [7:0]	lfsr
);wire feedback;;assign feedback = lfsr[7];always @(posedge clk)beginif(rst)//同或门种子可以选取全0,同时FPGA复位后也会复位到0,比较方便lfsr <= 8'h0;else begin//抽头从1开始为8、6、5、4lfsr[0] <= feedback;lfsr[1] <= lfsr[0];lfsr[2] <= lfsr[1];lfsr[3] <= lfsr[2];lfsr[4] <= lfsr[3] ~^ feedback;lfsr[5] <= lfsr[4] ~^ feedback;lfsr[6] <= lfsr[5] ~^ feedback;	lfsr[7] <= lfsr[6];end	
endendmodule

电路示意图如下:
在这里插入图片描述
仿真脚本依然用上面的即可,这里就不啰嗦了。

禁止状态的处理

用同或门作为反馈函数的LFSR是禁止使用全1状态的,因为全1的同或还是1,会导致移位寄存器一直处于全1状态出不来。这是的n级的LFSR只有2^n - 1 个状态,比一般的计数器状态少1个。如果需要完善这种禁止状态的处理,可以增加一部分电路来改变。

斐波那契LFSR可以增加一个判断寄存器是否为全1的电路,并将其输出连接到同或门,示意图如下:
在这里插入图片描述
如果不为全1状态,则全1判断电路输出为0,0异或任何数都等于该数本身,即不会对原有电路造成影响。如果为全1状态,则全1判断电路输出为1,此时同或门的输出为0,所以下一个状态的最低位即为0,也就是说跳出了全1状态。

这部分的Verilog代码如下:

//8级斐波那契LFSR(多到1型LFSR)设计
//同或门作为反馈函数,反馈多项式为 f(x)=x^8 + x^6 + x^5 + x^4 + 1
module LFSR8_Fib(input 				clk,input	     	 	rst,	output reg [7:0]	lfsr
);always @(posedge clk) beginif(rst)//同或门种子可以选取全0,同时FPGA复位后也会复位到0,比较方便lfsr <= 8'h0;	else begin//抽头从1开始为8、6、5、4;增加全1状态的跳出lfsr[0] <= ~(lfsr[3] ^ lfsr[4] ^ lfsr[5] ^ lfsr[7] ^ (lfsr[6:0]==7'b1111111));//低位移动到高位lfsr[7:1] <= lfsr[6:0];end
endendmodule

仿真也没问题,能从全1状态跳出:
在这里插入图片描述
同理,伽罗瓦LFSR也可以增加一个判断寄存器是否为全1的电路,并将其输出连接到同或门,示意图如下:
在这里插入图片描述
如果不为全1状态,则全1判断电路输出为0,0异或任何数都等于该数本身,即不会对原有电路造成影响。如果为全1状态,则全1判断电路输出为1,此时异或门的输出为0,所以下一个状态的抽头位全变为0,也就是说跳出了全1状态。

这部分的Verilog代码如下:

//8级伽罗瓦LFSR(1到多型LFSR)设计
//同或门作为反馈函数,反馈多项式为 f(x)=x^8 + x^6 + x^5 + x^4 + 1
module LFSR8_Gal(input 				clk,input	     	 	rst,	output reg [7:0]	lfsr
);wire feedback;;//增加全1状态的跳出
assign feedback = lfsr[7] ^ (lfsr[6:0]==7'b1111111);;always @(posedge clk)beginif(rst)//同或门种子可以选取全0,同时FPGA复位后也会复位到0,比较方便lfsr <= 8'h0;else begin//抽头从1开始为8、6、5、4lfsr[0] <= feedback;lfsr[1] <= lfsr[0];lfsr[2] <= lfsr[1];lfsr[3] <= lfsr[2];lfsr[4] <= lfsr[3] ~^ feedback;lfsr[5] <= lfsr[4] ~^ feedback;lfsr[6] <= lfsr[5] ~^ feedback;	lfsr[7] <= lfsr[6];end	
endendmodule

仿真也没问题,能从全1状态跳出:
在这里插入图片描述


  • 📣您有任何问题,都可以在评论区和我交流📃!
  • 📣本文由 孤独的单刀 原创,首发于CSDN平台🐵,博客主页:wuzhikai.blog.csdn.net
  • 📣您的支持是我持续创作的最大动力!如果本文对您有帮助,还请多多点赞👍、评论💬和收藏⭐

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/492539.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

事件循环解析

浏览器的进程模型 何为进程&#xff1f; 程序运行需要有它自己专属的内存空间&#xff0c;可以把这块内存空间简单的理解为进程 每个应用至少有一个进程&#xff0c;进程之间相互独立&#xff0c;即使要通信&#xff0c;也需要双方同意。 何为线程&#xff1f; 有了进程后&…

【好书推荐-第五期】《Java开发坑点解析:从根因分析到最佳实践》(异步图书出品)

&#x1f60e; 作者介绍&#xff1a;我是程序员洲洲&#xff0c;一个热爱写作的非著名程序员。CSDN全栈优质领域创作者、华为云博客社区云享专家、阿里云博客社区专家博主、前后端开发、人工智能研究生。公粽号&#xff1a;程序员洲洲。 &#x1f388; 本文专栏&#xff1a;本文…

虹科技术|PTP时钟源设备全攻略:从普通时钟到透明时钟的进阶之路

导读&#xff1a;在现代通信技术中&#xff0c;精确时间同步对于保障网络性能至关重要。PTP&#xff08;Precision Time Protocol&#xff09;时钟源设备作为实现高精度时间同步的关键组件&#xff0c;其配置和选择对于网络架构师和工程师来说至关重要。本文将探讨普通时钟和透…

时域与频域(二)

时钟周期就是时钟循环重复一次的时间间隔&#xff0c;时钟频率用&#xff0c;其与时钟周期的关系如下&#xff1a; 一般对于上升边的定义分两种&#xff1a;20%~80% 10%~90% 。大多数器件及模型里采用的20%~80% 。 对于相同特征尺寸的晶体管&#xff0c;n管要比p管的导通速度更…

Jessibuca 插件播放直播流视频

jessibuca官网&#xff1a;http://jessibuca.monibuca.com/player.html git地址&#xff1a;https://gitee.com/huangz2350_admin/jessibuca#https://gitee.com/link?targethttp%3A%2F%2Fjessibuca.monibuca.com%2F 项目需要的文件 1.播放组件 <template ><div i…

List去重有几种方式

目录 1、for循环添加去重 2、for 双循环去重 3、for 双循环重复坐标去重 4、Set去重 5、stream流去重 1、for循环添加去重 List<String> oldList new ArrayList<>();oldList.add("张三");oldList.add("张三");oldList.add("李四&q…

垃圾分类大屏:守护绿色地球的智能助手

在当下社会&#xff0c;垃圾分类已成为我们日常生活中不可或缺的一部分。随着环保意识的逐渐增强&#xff0c;如何高效、准确地进行垃圾分类成为了摆在我们面前的重要课题。而垃圾分类管理大屏作为一种创新的管理工具&#xff0c;正逐渐走进我们的生活&#xff0c;助力我们共同…

0225 嵌入式第二十一天

接昨日内容 今天继续目录IO的学习 access&#xff1a; 功能&#xff1a;检测调用函数的程序对文件是否拥有指定权限 参数&#xff1a;pathname&#xff1a;文件路径 mode&#xff1a; R_OK 检测是否拥有读权限 W_OK 检测是否拥有写权限 X_OK 检测是否拥有执行权…

免费享受企业级安全:雷池社区版WAF,高效专业的Web安全的方案

网站安全成为了每个企业及个人不可忽视的重要议题。 随着网络攻击手段日益狡猾和复杂&#xff0c;选择一个强大的安全防护平台变得尤为关键。 推荐的雷池社区版——一个为网站提供全面安全防护解决方案的平台&#xff0c;它不仅具备高效的安全防护能力&#xff0c;还让网站安…

SCI一区 | Matlab实现ST-CNN-MATT基于S变换时频图和卷积网络融合多头自注意力机制的多特征分类预测

SCI一区 | Matlab实现ST-CNN-MATT基于S变换时频图和卷积网络融合多头自注意力机制的故障多特征分类预测 目录 SCI一区 | Matlab实现ST-CNN-MATT基于S变换时频图和卷积网络融合多头自注意力机制的故障多特征分类预测效果一览基本介绍模型描述程序设计参考资料 效果一览 基本介绍…

Order By Limit不稳定性

文章目录 前置解决不确定性场景1 Order By索引1.1 背景1.2 不确定性产生原因1.2.1 正常情况下1.2.2 但是 1.3 补充1.4 场景1总结 场景2 Order by id2.1 背景2.2 不会产生不确定性原因1原因2 2.3 推荐使用方式 场景3 filesort3.1 背景3.2 不确定性产生原因3.3 内存排序和磁盘临时…

Linux进程 ----- 信号处理

前言 从信号产生到信号保存&#xff0c;中间经历了很多&#xff0c;当操作系统准备对信号进行处理时&#xff0c;还需要判断时机是否 “合适”&#xff0c;在绝大多数情况下&#xff0c;只有在 “合适” 的时机才能处理信号&#xff0c;即调用信号的执行动作。 一、信号的处理…