视觉图像处理和FPGA实现第三次作业--实现一个加法器模块

一、adder模块

module adder(ina, inb, outa);
input   [5:0] ina   ;
input   [5:0] inb   ;
output  [6:0] outa  ;assign outa = ina+ inb;
endmodule

二、add模块

module add(a,b,c,d,e);
input   [5:0]      a            ;
input   [5:0]      b            ;
input   [5:0]      c            ;
input   [5:0]      d            ;
output  [7:0]      e            ;
wire    [6:0]      outa1,outa2  ;assign e = outa1 + outa2;adder adder1
(.ina    ( a )       ,.inb    ( b )       ,.outa   ( outa1 )
);
adder adder2
(.ina    ( c )       ,.inb    ( d )       ,.outa   ( outa2 )
);endmodule

三、testbench

`timescale 1ns / 1ps
module add_tb();
reg     [5:0]   a   ;
reg     [5:0]   b   ;
reg     [5:0]   c   ;
reg     [5:0]   d   ;
wire    [7:0]   e   ;
reg     [5:0]   i   ;add u_add(.a ( a ),.b ( b ),.c ( c ),.d ( d ),.e ( e )
);initial begina=0; b=0; c=0; d=0;for(i=1; i<31; i= i+1)begin#10;a=i; b=i; c=i; d=i;end
endinitial begin$monitor($time,,,"%d+ %d+ %d+ %d= {%d}", a, b, c, d, e);#500 $finish;
endendmodule

四、RTL图

五、仿真

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.hqwc.cn/news/535515.html

如若内容造成侵权/违法违规/事实不符,请联系编程知识网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

git上拉下来的web项目,只有一个.git路径解决

代码拉下来的时候&#xff0c;web项目路径只有一个.git&#xff0c;可能指没有致命分支&#xff1a; 用idea打开web项目&#xff1b;切换到对应的分支即可

EEPROM ADDRESS BYTE长度说明

1、24C16 ADDRESS BYTE 2、24C32 ADDRESS BYTE 3、ADDRESS BYTE 长度说明 容量小于16Kbits&#xff08;含&#xff09;的EEPROM 地址是8位的 容量大于16Kbits的EEPROM地址是16位的 4、操作说明 例如&#xff1a;STM的HAL库函数中 HAL_I2C_Mem_Write(I2C_HandleTypeDef *hi…

ElasticSearch之聚合原理以及精准度分析

写在前面 本文看下es聚合的原理&#xff0c;以及精准度相关的问题。 1&#xff1a;分布式系统近似统计算法 万物都有其内在的规律和限制&#xff0c;如CAP&#xff0c;我们无法设计一个系统同时满足所有的因素&#xff0c;在分布式的计算中也是如此&#xff0c;它也有3个因素…

SpringBoot配置达梦数据库依赖(达梦8)

maven配置 <!-- 达梦数据库 --><dependency><groupId>com.dameng</groupId><artifactId>DmJdbcDriver18</artifactId><version>8.1.1.193</version></dependency><dependency><groupId>com.alibaba&l…

搞懂HR脑回路,春招Offer送上门!

春天已经来了工作还会远吗&#xff1f; 对准程序员们而言&#xff0c;意味着金主打开大门的春招季要来啦&#xff01; Offer飞来飞去&#xff0c;你会收到心仪的offer吗&#xff1f; 要Get这些大厂Offer不难&#xff0c;但前提是——你得先“懂”HR。所谓“懂”&#xff0c;并不…

性能测试场景分析并设计?超细案例讲解

前言 性能测试场景&#xff0c;其实和功能测试没什么区别&#xff0c;只是侧重点不同。 我们在功能测试中经常用到的等价类边界值等分析和设计测试case的方法&#xff0c;目的是为了尽可能的覆盖业务场景&#xff0c;避免遗漏导致的功能逻辑缺失或者未达到预期。 而在性能测试…

数据分析-Pandas雷达图的多维数据可视化

数据分析-Pandas雷达图的多维数据可视化 数据分析和处理中&#xff0c;难免会遇到各种数据&#xff0c;那么数据呈现怎样的规律呢&#xff1f;不管金融数据&#xff0c;风控数据&#xff0c;营销数据等等&#xff0c;莫不如此。如何通过图示展示数据的规律&#xff1f; 数据表…

机试:偶数分解

题目描述: 代码示例: #include <bits/stdc.h> using namespace std; int main(){ // 算法思想1:遍历小于该偶数的所有素数,存入数组中,遍历数组找出两个数之和等于偶数的数int n;cout << "输入样例" << endl;cin >> n;int nums[n];int k …

echarts绘制雷达图

<template><div><div>【云端报警风险】</div><div ref"target" class"w-full h-full" stylewidth&#xff1a;200px;height:300px></div></div> </template><script setup> import { ref, onMounte…

MySQL教程-SQL

SQL(Structured Query Language)结构化查询语言&#xff0c;操作关系型数据库的编程语言&#xff0c;定义了一套操作关系型数据库统一标准。 语法 SQL语句可以单行或多行书写&#xff0c;以;为结束标记SQL可以使用空格或缩进来增强语句的可读性SQL分单行注释(-- 注释内容 或 …

代码随想录 Day41 动态规划(背包问题)

动态规划&#xff08;Dynamic Programming&#xff0c;DP&#xff09;是解决背包问题&#xff08;Knapsack Problem&#xff09;的一种常用方法。背包问题可以描述为&#xff1a;给定一组物品&#xff0c;每种物品都有自己的重量和价值&#xff0c;背包的总容量是固定的。我们需…

【强化学习笔记一】初识强化学习(定义、应用、分类、性能指标、小车上山案例及代码)

文章目录 第1章 初识强化学习1.1 强化学习及其关键元素1.2 强化学习的应用1.3 强化学习的分类1.3.1 按任务分类1.3.2 按算法分类 1.4 强化学习算法的性能指标1.5 案例&#xff1a;基于Gym库的智能体/环境接口1.5.1 安装Gym库1.5.2 使用Gym库1.5.3 小车上山1.5.3.1 有限动作空间…